इंटेल ट्रिपल-स्पीड इथरनेट एजिलेक्स एफपीजीए आयपी डिझाइन उदाample
द्रुत प्रारंभ मार्गदर्शक
Intel Agilex™ साठी ट्रिपल-स्पीड इथरनेट Intel® FPGA IP डिझाइन एक्स व्युत्पन्न करण्याची क्षमता प्रदान करतेampनिवडलेल्या कॉन्फिगरेशनसाठी les, जे तुम्हाला याची अनुमती देते:
- IP क्षेत्राचा वापर आणि वेळेचा अंदाज घेण्यासाठी डिझाइन संकलित करा.
- सिम्युलेशनद्वारे आयपी कार्यक्षमता सत्यापित करण्यासाठी डिझाइनचे अनुकरण करा.
- Intel Agilex I-Series Transceiver-SoC डेव्हलपमेंट किट वापरून हार्डवेअरवरील डिझाइनची चाचणी घ्या.
- तुम्ही डिझाईन व्युत्पन्न करता तेव्हा माजीample, पॅरामीटर एडिटर आपोआप तयार करतो fileहार्डवेअरमध्ये डिझाइनचे अनुकरण करणे, संकलित करणे आणि चाचणी करणे आवश्यक आहे.
नोंद: हार्डवेअर समर्थन सध्या Intel Quartus® Prime Pro Edition सॉफ्टवेअर आवृत्ती 22.3 मध्ये उपलब्ध नाही.
विकास एसtagडिझाईन माजी साठी esample
नोंद: इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.3 मध्ये, डिझाइन एक्सवर सिम्युलेशन अपयश टाळण्यासाठी पॅच आवश्यक आहेampले अधिक माहितीसाठी, KDB लिंक पहा: ट्रिपल-स्पीड इथरनेट इंटेल एफपीजीए आयपी मल्टीपोर्ट डिझाइन एक्ससाठी सिम्युलेशन का अयशस्वी होतेampले?.
संबंधित माहिती
ट्रिपल-स्पीड इथरनेट इंटेल® FPGA IP मल्टीपोर्ट डिझाइन एक्स साठी सिम्युलेशन का अयशस्वी होतेampले?.
निर्देशिका संरचना
ट्रिपल-स्पीड इथरनेट इंटेल एफपीजीए आयपी डिझाइन उदाample file डिरेक्टरीमध्ये खालील व्युत्पन्न केलेले असतात file10/100/1000 मल्टीपोर्ट इथरनेट MAC डिझाइन उदाample 1000BASE-X/SGMII PCS आणि एम्बेडेड PMA सह
- हार्डवेअर कॉन्फिगरेशन आणि चाचणी files (हार्डवेअर डिझाइन उदाample) मध्ये स्थित आहेतample_dir>/hardware_test_design.
- अनुकरण files (केवळ सिम्युलेशनसाठी टेस्टबेंच) मध्ये स्थित आहेतample_dir>/उदाample_testbench.
- संकलन-केवळ डिझाइन माजीample मध्ये स्थित आहेample_dir>/ compilation_test_design.
- संकलन चाचणी आणि हार्डवेअर चाचणी डिझाइन वापरतात fileमध्ये आहेample_dir>/ex_tse/common.
डिझाईनसाठी डिरेक्टरी स्ट्रक्चर उदाample
तक्ता 1. ट्रिपल-स्पीड इथरनेट इंटेल एफपीजीए आयपी टेस्टबेंच File वर्णन
निर्देशिका/File | वर्णन |
टेस्टबेंच आणि सिम्युलेशन Files | |
<design_example_dir>/उदाample_testbench/ basic_avl_tb_top_mac_pcs.sv | उच्च-स्तरीय टेस्टबेंच file. टेस्टबेंच DUT ला इन्स्टंट करते आणि पॅकेट्स व्युत्पन्न आणि स्वीकारण्यासाठी वेरिलॉग एचडीएल टास्क चालवते. |
टेस्टबेंच स्क्रिप्ट्स | |
<design_example_dir>/उदाample_testbench/ run_vsim_mac_pcs.sh | टेस्टबेंच चालवण्यासाठी मॉडेलसिम स्क्रिप्ट. |
चालू ठेवले… |
निर्देशिका/File | वर्णन |
<design_example_dir>/उदाample_testbench/ run_vcs_mac_pcs.sh | टेस्टबेंच चालवण्यासाठी Synopsys* VCS स्क्रिप्ट. |
<design_example_dir>/उदाample_testbench/ run_vcsmx_mac_pcs.sh | टेस्टबेंच चालवण्यासाठी Synopsys VCS MX स्क्रिप्ट (VHDL सह Verilog HDL आणि System Verilog एकत्रित) |
<design_example_dir>/उदाample_testbench/ run_xcelium_mac_pcs.sh | टेस्टबेंच चालवण्यासाठी Xcelium* स्क्रिप्ट. |
तक्ता 2. ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP हार्डवेअर डिझाइन उदाample File वर्णन
निर्देशिका/File | वर्णन |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qpf | इंटेल क्वार्टस प्राइम प्रकल्प file. |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.qsf | इंटेल क्वार्टस प्राइम प्रोजेक्ट सेटिंग्ज file. |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.sdc | Synopsys डिझाइन मर्यादा files तुम्ही या कॉपी आणि सुधारित करू शकता files तुमच्या स्वतःच्या Intel Stratix® 10 डिझाइनसाठी. |
<design_example_dir>/hardware_test_design/ altera_eth_tse_hw.v. | उच्च-स्तरीय व्हेरिलॉग एचडीएल डिझाइन उदाample file. |
<design_example_dir>/hardware_test_design/ common/ | हार्डवेअर डिझाइन उदाampले समर्थन files. |
डिझाइन तयार करणे उदाample
डिझाईन तयार करण्याची प्रक्रिया उदाample
Exampट्रिपल-स्पीड इथरनेट इंटेल एफपीजीए आयपी पॅरामीटर एडिटरमध्ये डिझाइन टॅब
हार्डवेअर डिझाइन एक्स व्युत्पन्न करण्यासाठी या चरणांचे अनुसरण कराample आणि testbench:
- इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये क्लिक करा File ➤ नवीन क्वार्टस प्राइम प्रोजेक्ट तयार करण्यासाठी नवीन प्रोजेक्ट विझार्ड, किंवा File ➤ विद्यमान क्वार्टस प्राइम प्रकल्प उघडण्यासाठी प्रकल्प उघडा. विझार्ड आपल्याला डिव्हाइस निर्दिष्ट करण्यास सूचित करतो.
- Intel Agilex डिव्हाइस कुटुंब निवडा आणि LVDS असलेले डिव्हाइस निवडा.
- विझार्ड बंद करण्यासाठी Finish वर क्लिक करा.
- आयपी कॅटलॉगमध्ये, इंटरफेस प्रोटोकॉल शोधा आणि निवडा ➤ इथरनेट ➤ 1G मल्टीरेट
- इथरनेट ➤ ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP. नवीन IP भिन्नता विंडो दिसेल.
- उच्च-स्तरीय नाव निर्दिष्ट करा तुमच्या सानुकूल IP भिन्नतेसाठी. पॅरामीटर एडिटर आयपी व्हेरिएशन सेटिंग्ज a मध्ये सेव्ह करतो file नाव दिले .ip
- ओके क्लिक करा. पॅरामीटर संपादक दिसतात.
- डिझाईन तयार करण्यासाठी माजीample, एक डिझाइन माजी निवडाampप्रीसेट लायब्ररीमधून प्रीसेट करा आणि लागू करा क्लिक करा. जेव्हा तुम्ही एखादे डिझाइन निवडता, तेव्हा सिस्टम स्वयंचलितपणे डिझाइनसाठी आयपी पॅरामीटर्स भरते. पॅरामीटर एडिटर आपोआप डिझाइन एक्स व्युत्पन्न करण्यासाठी आवश्यक पॅरामीटर्स सेट करतोampले आयपी टॅबमधील प्रीसेट पॅरामीटर्स बदलू नका.
- माजी साठीampले डिझाइन Files, टेस्टबेंच व्युत्पन्न करण्यासाठी सिम्युलेशन पर्याय निवडा किंवा हार्डवेअर डिझाइन तयार करण्यासाठी सिंथेसिस पर्याय निवडाampले
- टीप: तुम्ही डिझाइन एक्स व्युत्पन्न करण्यासाठी पर्यायांपैकी किमान एक निवडणे आवश्यक आहेampले
- माजी वरampले डिझाईन टॅब, व्युत्पन्न एचडीएल फॉरमॅट अंतर्गत, व्हेरिलॉग एचडीएल किंवा व्हीएचडीएल निवडा.
- लक्ष्य विकास किट अंतर्गत, Agilex I-Series Transceiver-SoC विकास किट (AGIB027R31B1E2VR0) निवडा किंवा काहीही नाही निवडा.
- Ex वर क्लिक कराample डिझाइन: "उदाample_design" बटण. निवडा माजीampले डिझाईन डिरेक्टरी विंडो दिसेल.
- जर तुम्हाला डिझाइनमध्ये बदल करायचे असतील तर माजीample निर्देशिका पथ किंवा डिफॉल्टमधून नाव प्रदर्शित केले आहे (eth_tse_0_example_design), नवीन पथ ब्राउझ करा आणि नवीन डिझाइन ex टाइप कराampले डिरेक्टरी नाव (ample_dir>).
- ओके क्लिक करा.
डिझाईन माजीampले पॅरामीटर्स
उदा. मधील पॅरामीटर्सampले डिझाईन टॅब
पॅरामीटर | वर्णन |
डिझाइन निवडा | उपलब्ध माजीample IP पॅरामीटर सेटिंग्जसाठी डिझाइन. |
Exampले डिझाइन Files | द files विविध विकास टप्प्यासाठी व्युत्पन्न करण्यासाठी.
• सिम्युलेशन—आवश्यकता निर्माण करते fileमाजी अनुकरण करण्यासाठी sampले डिझाइन. • संश्लेषण - संश्लेषण निर्माण करते files ह्यांचा वापर करा fileहार्डवेअर चाचणीसाठी इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये डिझाइन संकलित करणे आणि स्थिर वेळेचे विश्लेषण करणे. |
निर्माण करा File स्वरूप | RTL चे स्वरूप fileसिम्युलेशनसाठी s — व्हेरिलॉग किंवा VHDL. |
बोर्ड निवडा | डिझाइन अंमलबजावणीसाठी समर्थित हार्डवेअर. जेव्हा तुम्ही इंटेल FPGA डेव्हलपमेंट बोर्ड निवडता, तेव्हा लक्ष्य डिव्हाइस डेव्हलपमेंट किटवरील उपकरणाशी जुळणारे आहे.
हा मेनू उपलब्ध नसल्यास, तुम्ही निवडलेल्या पर्यायांसाठी कोणताही सपोर्टेड बोर्ड नाही. Agilex I-Series Transceiver-SoC विकास किट: हा पर्याय तुम्हाला डिझाईन एक्स चाचणी करण्याची परवानगी देतोampनिवडलेल्या इंटेल एफपीजीए आयपी डेव्हलपमेंट किटवर. हा पर्याय आपोआप निवडतो लक्ष्य डिव्हाइस इंटेल एफपीजीए आयपी डेव्हलपमेंट किटवरील डिव्हाइसशी जुळण्यासाठी. तुमच्या बोर्ड रिव्हिजनमध्ये भिन्न डिव्हाइस ग्रेड असल्यास, तुम्ही लक्ष्य डिव्हाइस बदलू शकता. काहीही नाही: हा पर्याय डिझाइन एक्ससाठी हार्डवेअर पैलू वगळतोampले |
ट्रिपल-स्पीड इथरनेट इंटेल एफपीजीए आयपी डिझाइन उदाampले टेस्टबेंच
अनुकरण करण्याची प्रक्रिया उदाampले टेस्टबेंच
टेस्टबेंचचे अनुकरण करण्यासाठी या चरणांचे अनुसरण करा:
- testbench सिम्युलेशन निर्देशिकेत बदलाample_dir>/ उदाample_testbench.
- तुमच्या पसंतीच्या समर्थित सिम्युलेटरसाठी सिम्युलेशन स्क्रिप्ट चालवा. स्क्रिप्ट संकलित करते आणि सिम्युलेटरमध्ये टेस्टबेंच चालवते. टेबलचा संदर्भ घ्या टेस्टबेंचचे अनुकरण करण्यासाठी पायऱ्या.
टेस्टबेंचचे अनुकरण करण्यासाठी पायऱ्या
सिम्युलेटर | सूचना |
मॉडेलसिम* | कमांड लाइनमध्ये, vsim -do run_vsim_mac_pcs.do टाइप करा. तुम्ही मॉडेलसिम GUI न आणता अनुकरण करण्यास प्राधान्य दिल्यास, vsim -c -do run_vsim_mac_pcs.do टाइप करा. |
Synopsys VCS*/ VCS MX | कमांड लाइनमध्ये sh run_vcs_mac_pcs.sh किंवा sh run_vcsmx_mac_pcs.sh टाइप करा. |
एक्सेलियम | कमांड लाइनमध्ये sh run_xcelium_mac_pcs.sh टाइप करा. |
- परिणामांचे विश्लेषण करा. यशस्वी टेस्टबेंच दहा पॅकेट पाठवते, तेवढ्याच पॅकेट्सची संख्या प्राप्त करते आणि खालील संदेश प्रदर्शित करते
डिझाईन संकलित करणे आणि कॉन्फिगर करणे उदाampहार्डवेअर मध्ये le
हार्डवेअर डिझाइन संकलित करण्यासाठी उदाample आणि आपल्या Intel Agilex डिव्हाइसवर कॉन्फिगर करा, या चरणांचे अनुसरण करा:
- हार्डवेअर डिझाइनची खात्री करा उदाampले पिढी पूर्ण झाली.
- इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये, इंटेल क्वार्टस प्राइम प्रोजेक्ट उघडाample_dir>/hardware_test_design/ altera_eth_tse_hw.qpf.
- प्रक्रिया मेनूवर, संकलन प्रारंभ करा क्लिक करा.
- यशस्वी संकलनानंतर, a.sof file मध्ये उपलब्ध आहेample_dir>/hardwarde_test_design निर्देशिका
10/100/1000 मल्टीपोर्ट इथरनेट MAC डिझाइन उदाample 1000BASE-X/SGMII PCS आणि एम्बेडेड PMA सह
हे डिझाइन माजीample ट्रिपल-स्पीड इथरनेट IP वापरून इंटेल एजिलेक्स उपकरणांसाठी इथरनेट सोल्यूशन प्रदर्शित करते. तुम्ही Ex कडून डिझाइन तयार करू शकताampट्रिपल-स्पीड इथरनेट आयपी पॅरामीटर एडिटरचे डिझाइन टॅब. डिझाइन तयार करण्यासाठी उदाampप्रथम, तुम्ही तुमच्या अंतिम उत्पादनामध्ये निर्माण करू इच्छित असलेल्या IP भिन्नतेसाठी प्रथम पॅरामीटर मूल्ये सेट करणे आवश्यक आहे. डिझाईन तयार करणे उदाample IP ची एक प्रत तयार करते. टेस्टबेंच आणि हार्डवेअर डिझाइन उदाampआयपीची प्रत चाचणी अंतर्गत उपकरण म्हणून वापरा (DUT). तुमच्या अंतिम उत्पादनातील पॅरामीटर मूल्यांशी जुळण्यासाठी तुम्ही DUT साठी पॅरामीटर मूल्ये सेट न केल्यास, डिझाइन माजीampतुम्ही व्युत्पन्न करता ते तुम्हाला अभिप्रेत असलेल्या IP भिन्नतेचा वापर करत नाही.
वैशिष्ट्ये
- डिझाइन एक्स व्युत्पन्न करतेampमल्टी-चॅनल शेअर्ड FIFO वापरून LVDS I/O सह अंतर्गत FIFO आणि PCS शिवाय ट्रिपल-स्पीड इथरनेट मल्टीपोर्ट इथरनेट MAC साठी le.
- ट्रान्समिट मार्गावर रहदारी निर्माण करते आणि ट्रान्सीव्हर LVDS I/O बाह्य लूपबॅकद्वारे प्राप्त डेटा सत्यापित करते.
- Tx आणि RX सीरियल बाह्य लूपबॅक मोड LVDS I/O द्वारे.
- केवळ बाह्य लूपबॅकचे समर्थन करते.
- फक्त चार पोर्टला सपोर्ट करते.
हार्डवेअर आणि सॉफ्टवेअर आवश्यकता
- इंटेल खालील हार्डवेअर आणि सॉफ्टवेअर वापरते डिझाइनची चाचणी करण्यासाठीampलिनक्स सिस्टममध्ये:
- इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर
- ModelSim, VCS, VCS MX, आणि Xcelium सिम्युलेटर
कार्यात्मक वर्णन
डिझाइन घटक
घटक | वर्णन |
ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP | ट्रिपल-स्पीड इथरनेट इंटेल FPGA IP (altera_eth_tse) खालील कॉन्फिगरेशनसह इन्स्टंट केले आहे:
• कोर कॉन्फिगरेशन: — कोर भिन्नता: 10BASE-X/SGMII PCS सह 100/1000/1000Mb इथरनेट MAC — अंतर्गत FIFO वापरा: निवडलेले नाही — बंदरांची संख्या: ६९६१७७९७९७७७ — ट्रान्सीव्हर प्रकार: LVDS I/O • MAC पर्याय: — MAC 10/100 हाफ डुप्लेक्स सपोर्ट सक्षम करा: निवडले — MII/GMII वर स्थानिक लूपबॅक सक्षम करा: निवडले — पूरक MAC युनिकास्ट पत्ते सक्षम करा: निवडलेले नाही — सांख्यिकी काउंटर समाविष्ट करा: निवडले — 64-बिट आकडेवारी बाइट काउंटर सक्षम करा: निवडलेले नाही — मल्टीकास्ट हॅशटेबल समाविष्ट करा: निवडलेले नाही — पॅकेट शीर्षलेख 32-बिट सीमेवर संरेखित करा: निवडलेले नाही — पूर्ण-डुप्लेक्स प्रवाह नियंत्रण सक्षम करा: निवडले — VLAN शोध सक्षम करा: निवडलेले नाही — मॅजिक पॅकेट डिटेक्शन सक्षम करा: निवडले — MDIO मॉड्यूल (MDC/MDIO) समाविष्ट करा: निवडले — होस्ट घड्याळ विभाजक: ६९६१७७९७९७७७ • टाइमस्टamp पर्याय: — टाइमस्ट सक्षम कराamping: निवडलेले नाही • PCS/ट्रान्सिव्हर पर्याय: — SGMII ब्रिज सक्षम करा: निवडले |
क्लायंट लॉजिक | आयपीद्वारे पाठवलेले किंवा प्राप्त केलेले पॅकेट व्युत्पन्न आणि मॉनिटर करते. |
इथरनेट वाहतूक नियंत्रक | Avalon® मेमरी-मॅप केलेल्या इंटरफेसद्वारे नियंत्रित. |
JTAG Avalon मेमरी-मॅप्ड इंटरफेस अॅड्रेस डीकोडरवर | जे रूपांतरित कराTAG Avalon मेमरी-मॅप केलेल्या इंटरफेससाठी सिग्नल. |
घड्याळ आणि सिग्नल रीसेट करा
सिग्नल | दिशा | रुंदी | वर्णन |
ref_clk | इनपुट | 1 | ड्राइव्ह नोंदणी प्रवेश संदर्भ घड्याळ आणि MAC FIFO स्थिती इंटरफेस घड्याळ. घड्याळ 100 MHz वर सेट करा. |
iopll_refclk | इनपुट | 1 | 125 Gbps सीरियल LVDS I/O इंटरफेससाठी 1.25 MHz संदर्भ घड्याळ. |
अनुकरण
सिम्युलेशन चाचणी केस खालील चरण पार पाडते:
- डिझाईन भूतपूर्व सुरू होतेample 1G च्या ऑपरेटिंग गतीसह.
- ट्रिपल-स्पीड इथरनेट MAC आणि PCS रजिस्टर्स कॉन्फिगर करते.
- मापन वैध सिग्नलचे प्रतिपादन होईपर्यंत प्रतीक्षा करते.
- पोर्ट 0 वर PTP नसलेली पॅकेट पाठवते.
- MAC RX पोर्ट 0 प्राप्त झालेले पॅकेट MAC TX पोर्ट 1 वर पाठवते.
टेस्टबेंच
डिझाईनचे ब्लॉक डायग्राम उदाample मल्टीपोर्ट 10/100/1000Mb इथरनेट MAC 1000BASE-X/SGMII PCS सह LVDS I/O सिम्युलेशन टेस्टबेंच
व्हीसीएस सिम्युलेटरचा सिम्युलेशन चाचणी निकाल
ट्रिपल-स्पीडसाठी दस्तऐवज पुनरावृत्ती इतिहास इथरनेट इंटेल एफपीजीए आयपी इंटेल एजिलेक्स डिझाइन उदाampवापरकर्ता मार्गदर्शक
दस्तऐवज आवृत्ती | इंटेल क्वार्टस प्राइम आवृत्ती | आयपी आवृत्ती | बदल |
2022.12.09 | 22.3 | 21.1.0 | प्रारंभिक प्रकाशन. |
कागदपत्रे / संसाधने
![]() |
इंटेल ट्रिपल-स्पीड इथरनेट एजिलेक्स एफपीजीए आयपी डिझाइन उदाample [pdf] वापरकर्ता मार्गदर्शक ट्रिपल-स्पीड इथरनेट एजिलेक्स एफपीजीए आयपी डिझाइन उदाample, ट्रिपल-स्पीड, इथरनेट Agilex FPGA IP डिझाइन उदाampले, आयपी डिझाइन उदाample |