इंटेल लोगोIntel® Quartus® Prime Standard Edition
आवृत्ती 22.1std सॉफ्टवेअर आणि डिव्हाइस समर्थन प्रकाशन नोट्स
Intel® Quartus® Prime Design Suite साठी अपडेट केलेले: 22.1std.1
वापरकर्ता मार्गदर्शक

Intel® Quartus® प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीज नोट्स

हा दस्तऐवज Intel® Quartus® Prime Standard Edition आवृत्ती 22.1std आणि 22.1std.1 बद्दल उशीरा-ब्रेकिंग माहिती प्रदान करतो.
या सॉफ्टवेअर रिलीझबद्दल अतिरिक्त माहितीसाठी, Intel Quartus Prime Standard Edition README पहा file खालील ठिकाणी: /quartus/readme.txt
ऑपरेटिंग सिस्टम समर्थनाबद्दल माहितीसाठी, खालील पहा web पृष्ठ: इंटेल FPGA ऑपरेटिंग सिस्टम समर्थन.

संबंधित माहिती

  • इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीज नोट्स
  • लिनक्ससाठी इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन डिझाइन सॉफ्टवेअर
  • लिनक्ससाठी इंटेल क्वार्टस प्राइम लाइट एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम लाइट एडिशन डिझाइन सॉफ्टवेअर
  • इंटेल एफपीजीए सॉफ्टवेअर इन्स्टॉलेशन आणि लायसन्सिंग

१.१. नवीन वैशिष्ट्ये आणि सुधारणा
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आवृत्ती 22.1std आणि आवृत्ती 22.1std.1 मध्ये फंक्शनल आणि सुरक्षा अपडेट समाविष्ट आहेत. आपले सॉफ्टवेअर अद्ययावत ठेवा आणि अनुसरण करा तांत्रिक शिफारसी जे तुमच्या इंटेल क्वार्टस प्राइम इंस्टॉलेशनची सुरक्षा सुधारण्यास मदत करतात.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आवृत्ती 22.1std मध्ये खालील नवीन वैशिष्ट्ये आणि सुधारणा समाविष्ट आहेत:

  • Nios® V/m प्रोसेसरसाठी समर्थन जोडले.
  • Intel MAX® 10 उपकरणांसाठी, 1.8V LVDS समर्थन जोडले.

दोष निराकरणे
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आवृत्ती 22.1std आणि आवृत्ती 22.1std.1 मध्ये दोष निराकरणे देखील समाविष्ट आहेत. रेview या आवृत्तीमध्ये तुमच्या कोणत्याही ग्राहक सेवा (इंटेल प्रीमियर सपोर्ट) विनंत्यांना निराकरणे आहेत की नाही हे पाहण्यासाठी पृष्ठ 13 वर सॉफ्टवेअर समस्यांचे निराकरण केले आहे आणि सॉफ्टवेअर पॅचेस पृष्ठ 13 वरील या प्रकाशनामध्ये समाविष्ट आहेत.

१.२. सॉफ्टवेअर वर्तनात बदल
हा विभाग इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरच्या पूर्वीच्या रिलीझमधून इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरची वर्तणूक आणि डीफॉल्ट सेटिंग्ज बदलण्यात आलेली उदाहरणे दस्तऐवज देतो.
इंटेल क्वार्टस प्राइम डीफॉल्ट सेटिंग्जचा संदर्भ घ्या File (.qdf), /quartus/bin/assignment_defaults.qdf, इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या नवीनतम आवृत्तीसाठी सर्व डीफॉल्ट असाइनमेंट सेटिंग्जच्या सूचीसाठी.

१.२.१. नापसंत वैशिष्ट्ये आणि कार्ये
या विभागात सूचीबद्ध केलेली कार्ये आणि वैशिष्ट्ये नापसंत केली गेली आहेत परंतु इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std.1 किंवा पूर्वीपासून काढली गेली नाहीत.
बहिष्कृत वैशिष्ट्ये आणि कार्ये काढून टाकण्यापूर्वी बदली किंवा पर्यायी वैशिष्ट्ये आणि कार्ये वापरण्यासाठी तुमची साधने आणि प्रक्रिया स्थलांतरित करा.
Intel Quartus प्राइम स्टँडर्ड नुसार वैशिष्ट्ये आणि कार्ये नापसंत आवृत्ती आवृत्ती 22.1std.1
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.
Intel Quartus प्राइम स्टँडर्ड नुसार वैशिष्ट्ये आणि कार्ये नापसंत आवृत्ती आवृत्ती 22.1std
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1.1 नुसार वैशिष्ट्ये आणि कार्ये नापसंत
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.
Intel Quartus प्राइम स्टँडर्ड नुसार वैशिष्ट्ये आणि कार्ये नापसंत आवृत्ती आवृत्ती 21.1
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.
Intel Quartus प्राइम स्टँडर्ड नुसार वैशिष्ट्ये आणि कार्ये नापसंत आवृत्ती आवृत्ती 20.1
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 20.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.

१.२.२. वैशिष्ट्ये आणि कार्ये काढली
या विभागात सूचीबद्ध केलेली कार्ये आणि वैशिष्ट्ये इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std.1 किंवा त्यापूर्वीच्या वरून काढून टाकण्यात आली आहेत.
Intel Quartus Prime Standard Edition मधून वैशिष्ट्ये आणि कार्ये काढून टाकली आवृत्ती 22.1std.1
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1.1 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढली गेली नाहीत.
Intel Quartus Prime Standard Edition मधून वैशिष्ट्ये आणि कार्ये काढून टाकली आवृत्ती 22.1std
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढली गेली नाहीत.
Intel Quartus Prime Standard Edition मधून वैशिष्ट्ये आणि कार्ये काढून टाकली आवृत्ती ५.१
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1.1 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढली गेली नाहीत.
Intel Quartus Prime Standard Edition मधून वैशिष्ट्ये आणि कार्ये काढून टाकली आवृत्ती ५.१

  • ModelSim*-Intel FPGA Edition आणि ModelSim-Intel FPGA स्टार्टर एडिशन काढले
    या सिम्युलेशन सॉफ्टवेअरची जागा अनुक्रमे Questa*-Intel FPGA Edition आणि Questa-Intel FPGA Starter Edition ने घेतली आहे.
  • 32-बिट सिम्युलेशन सॉफ्टवेअरसाठी समर्थन काढले.
    हा बदल खालील सिम्युलेशन साधनांसाठी समर्थन काढून टाकतो:
    — Aldec* सक्रिय-HDL* (32-बिट)
    Aldec Active-HDL ची 64-बिट आवृत्ती वापरा किंवा त्याऐवजी Aldec Riviera-PRO* वापरा.
    — मेंटॉर ग्राफिक्स* मॉडेलसिम पीई
    त्याऐवजी Siemens* EDA ModelSim SE किंवा Siemens EDA Questa Advanced Simulator वापरा.
  • NicheStack TCP/IP स्टॅक सपोर्ट काढून टाकला.
  • Cadence* Incisive* Enterprise Simulator (IES) साठी समर्थन काढून टाकले.

Intel Quartus Prime Standard Edition मधून वैशिष्ट्ये आणि कार्ये काढून टाकली आवृत्ती ५.१
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 20.1 आणि नंतरच्या वरून खालील सॉफ्टवेअरसाठी समर्थन काढून टाकण्यात आले आहे:

  • Intel FPGAs साठी DSP बिल्डर
  • OpenCL™ (*) साठी इंटेल FPGA SDK
  • OpenCL साठी इंटेल FPGA RTE
  • इंटेल हाय-लेव्हल सिंथेसिस (एचएलएस) कंपाइलर

(*) OpenCL आणि OpenCL लोगो हे Apple Inc. चे ट्रेडमार्क आहेत जे Khronos Group™ च्या परवानगीने वापरले जातात.

१.३. ऑपरेटिंग सिस्टम समर्थन
इंटेल क्वार्टस प्राइम डिझाईन सूटसाठी ऑपरेटिंग सिस्टम सपोर्टबद्दल माहिती इंटेल FPGA च्या ऑपरेटिंग सिस्टम सपोर्ट पृष्ठावर उपलब्ध आहे. webसाइट
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनमध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल आवृत्ती 22.1std.1
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std.1 मध्ये कोणतेही ऑपरेटिंग सिस्टम समर्थन बदल नाहीत.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनमध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल आवृत्ती 22.1std
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 नुसार खालील ऑपरेटिंग सिस्टम्ससाठी समर्थन नापसंत केले आहे:

  • CentOS* Linux 8.2
  • विंडोज सर्व्हर* 2012
  • विंडोज सर्व्हर 2016
  • Windows* 10 आवृत्ती 1607

तुमचे Windows 10 इंस्टॉलेशन Windows 10 आवृत्ती 1809 किंवा नंतरच्या आवृत्तीवर स्थलांतरित करा.
या कार्यप्रणालीसाठी समर्थन भविष्यातील प्रकाशनात काढून टाकले जाऊ शकते.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 ने खालील ऑपरेटिंग सिस्टमसाठी समर्थन काढून टाकले:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनमध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल आवृत्ती ५.१
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1.1 मध्ये कोणतेही ऑपरेटिंग सिस्टम समर्थन बदल नाहीत.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनमध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल आवृत्ती ५.१
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1 ने खालील ऑपरेटिंग सिस्टमसाठी समर्थन जोडले आहे:

  1. सेंटोस लिनक्स 8.2 इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 द्वारे समर्थित आहे
  2. Red Hat* Enterprise Linux 8.2 इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1 द्वारे समर्थित आहे
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* लिनक्स एंटरप्राइझ सर्व्हर 15
  • उबंटू* लिनक्स 20 LTS
  • विंडोज सर्व्हर 2019

Intel Quartus प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1 नुसार खालील ऑपरेटिंग सिस्टम्ससाठी समर्थन नापसंत केले आहे. या ऑपरेटिंग सिस्टीमसाठी समर्थन भविष्यातील प्रकाशनात काढून टाकले जाऊ शकते:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 21.1 ने खालील ऑपरेटिंग सिस्टमसाठी समर्थन काढून टाकले:

  • Red Hat Enterprise Linux 6
  • उबंटू लिनक्स 14 LTS

संबंधित माहिती
ऑपरेटिंग सिस्टम समर्थन

१.४. डिस्क स्पेस आणि मेमरी शिफारसी
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरच्या पूर्ण स्थापनेसाठी 40 GB पर्यंत उपलब्ध डिस्क स्पेस आवश्यक आहे.
तुमच्या डिझाइनवर प्रक्रिया करण्यासाठी आवश्यक असलेल्या शिफारस केलेल्या भौतिक RAM प्रमाणे अतिरिक्त आभासी मेमरी प्रदान करण्यासाठी तुमची प्रणाली कॉन्फिगर करा. ही अतिरिक्त आभासी मेमरी तुमच्या डिझाइनवर प्रक्रिया करण्यासाठी उपलब्ध एकूण प्रभावी मेमरी प्रभावीपणे दुप्पट करते.
टीप:
पीक व्हर्च्युअल मेमरी या शिफारसी ओलांडू शकते. या शिफारशी हार्डवेअरवर अपरिमित RAM असलेल्या 10% च्या आत रनटाइम साध्य करण्यासाठी आवश्यक भौतिक मेमरीच्या प्रमाणावर आधारित आहेत.

तक्ता 1.
Arria® डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
Intel Arria® 10 10AT115, 10AX115 48 जीबी
10AT090, 10AX090 44 जीबी
10AS066, 10AX066 32 जीबी
10AS057, 10AX057 30 जीबी
10ASO48, 10AX048 28 जीबी
10AX032, 10AS032 24 जीबी
10AX027, 10AS027 22 जीबी
10AX022, 10AS022 20 जीबी
10AX016, 10AS016 18 जीबी
अररिया व्ही 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 जीबी
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 जीबी
5AGXA7, 5AGTC7 10 जीबी
5AGTC3, 5AGXA3, 5AGXA5 8 जीबी
5AGXA1 6 जीबी
Arria V GZ 5AGZE7 16 जीबी
5AGZE3, 5AGZE5 12 जीबी
5AGZE1 8 जीबी
Arria II GX EP2AGX260 6 जीबी
EP2AGX95, EP2AGX125, EP2AGX190 4 जीबी
EP2AGX65 2 जीबी
EP2AGX45 1.5 जीबी
Arria II GZ EP2AGZ350 8 जीबी
EP2AGZ300 6 जीबी
EP2AGZ225 4 जीबी

तक्ता 2.
सायक्लोन® डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
Intel Cyclone® 10 LP 10 सीसी 120 1.5 जीबी
10CL080, 10CL055 1 जीबी
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
चक्रीवादळ व्ही 5CEA9, 5CGTD9, 5CGXC9 8 जीबी
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 जीबी
चक्रीवादळ IV GX EP4CGX110, EP4CGX150 2 जीबी
EP4CGX50, EP4CGX75 1.5 जीबी
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
चक्रीवादळ IV E EP4CE115 1.5 जीबी
EP4CE55, EP4CE75 1 जीबी
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

तक्ता 3.
MAX डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
इंटेल MAX 10 10M50 2 जीबी
10M16 2 जीबी
10M25 2 जीबी
10M40 2 जीबी
10M04, 10M08 1 जीबी
10M02 512 MB
MAX V सर्व 512 MB
MAX II सर्व 512 MB

तक्ता 4.
Stratix®Designs वर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 जीबी
5SGXA9, 5SEE9 24 जीबी
5SGTC7, 5SGXA7, 5SGSD8 20 जीबी
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 जीबी
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 जीबी
5SGSD3 8 जीबी
स्ट्रॅटिक्स IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 जीबी
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 जीबी
EP4SGX290 6 जीबी
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 जीबी
EP4SGX70 2 जीबी

1.5. डिव्हाइस समर्थन आणि पिन-आउट स्थिती
सर्व उत्पादन उपकरणांमध्ये सध्या संपूर्ण संकलन, सिम्युलेशन, वेळेचे विश्लेषण आणि प्रोग्रामिंग समर्थन आहे.
१.५.१. डिव्हाइस समर्थन मध्ये बदल
१.६. टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती
तक्ता 5.
Intel Arria 10 उपकरणांसाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल एरिया 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 अंतिम - 16.1 (3)(4) अंतिम - 17.0 अंतिम - 17.0
10AX048, 10AS048 अंतिम - 16.0.2 (4) अंतिम - 17.0 अंतिम - 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 अंतिम - 16.0.1 (4) अंतिम - 16.0.1 अंतिम - 16.0.1
10AX115, 10AT115 अंतिम - 16.0 (4) अंतिम - 16.0 अंतिम - 16.0

(१) इंटेल क्वार्टस प्राइम सॉफ्टवेअर आवृत्ती 1 मध्ये -17.0 स्पीड ग्रेड असलेली उपकरणे अंतिम करण्यात आली
(१) इंटेल क्वार्टस प्राइम सॉफ्टवेअर आवृत्ती 18.0.1 मध्ये सर्व लष्करी दर्जाच्या उपकरणांना अंतिम रूप देण्यात आले.

तक्ता 6.
इंटेल चक्रीवादळ 10 उपकरणांसाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल चक्रीवादळ 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 अंतिम - 17.0 अंतिम - 17.1 अंतिम - 17.1

तक्ता 7.
Intel MAX 10 डिव्हाइसेससाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल MAX 10 10M02, 10M04, 10M08 अंतिम - 15.1 (5) अंतिम - 15.1 अंतिम - 15.1
10M16, 10M25, 10M40, 10M50 अंतिम - 15.1.2 अंतिम - 15.1 अंतिम - 15.1

इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या सध्याच्या आवृत्तीमध्ये Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, चक्रीवादळ IV E, चक्रीवादळ IV GX, चक्रीवादळ V, चक्रीवादळ V साठी अंतिम वेळ आणि पॉवर मॉडेल देखील समाविष्ट आहेत. SoC, MAX II, MAX II Z, MAX V, Stratix IV आणि Stratix V डिव्हाइस कुटुंबे. इंटेल क्वार्टस प्राइम सॉफ्टवेअर आवृत्त्या 11.1 किंवा त्यापूर्वीच्या आवृत्त्यांमध्ये या उपकरण कुटुंबांसाठी वेळेचे मॉडेल अंतिम झाले.

१.७. IBIS मॉडेल्स
तक्ता 8. इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरसाठी IBIS मॉडेल स्थिती प्रकाशन आवृत्ती 22.1std
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आवृत्ती 16.0 पासून सुरुवात करून, डिव्हाइस कुटुंबांमध्ये IBIS मॉडेल स्थिती आहेत जी एकतर आगाऊ, प्राथमिक किंवा अंतिम आहेत.

डिव्हाइस कुटुंब IBIS मॉडेल स्थिती
इंटेल एरिया 10 अंतिम - 16.1.2
अररिया व्ही PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 14.0
Arria II GX PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1
Arria II GZ PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1
इंटेल चक्रीवादळ 10 LP अंतिम - 17.0
चक्रीवादळ व्ही PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 14.0
चक्रीवादळ IV E PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1
चक्रीवादळ IV GX PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1
इंटेल MAX 10 अंतिम - 16.0
MAX V PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1
स्ट्रॅटिक्स व्ही PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 13.0 SP1
स्ट्रॅटिक्स IV PHY डिव्हाइस ऑपरेशनशी सहसंबंधित – 11.1

अद्ययावत IBIS मॉडेल्स इंटेल FPGA डिव्हाइसेससाठी IBIS मॉडेल्सवर ऑनलाइन उपलब्ध आहेत. web पृष्ठ डिव्हाइसेससाठी IBIS मॉडेल उपलब्ध झाल्यामुळे किंवा अद्यतनित केल्यामुळे हे पृष्ठ अद्यतनित केले जाते.
(5) MAX 10 A6 स्पीड ग्रेड भागांसाठी टाइमिंग मॉडेल स्थिती प्राथमिक म्हणून राहतील.
१.८. EDA इंटरफेस माहिती
तक्ता 9.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर रिलीज व्हर्जन 22.1std चे समर्थन करणारी सिंथेसिस टूल्स

संश्लेषण साधने आवृत्ती
सीमेन्स ईडीए प्रिसिजन* इंटेल क्वार्टस प्राइम सॉफ्टवेअरला सपोर्ट करणार्‍या Siemens EDA प्रेसिजन आवृत्त्या सामान्यत: इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या प्रकाशनानंतर रिलीझ केल्या जातात. इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर रिलीज व्हर्जन 22.1std चे समर्थन करणार्‍या Siemens EDA प्रेसिजनच्या आवृत्त्यांसाठी Siemens EDA शी संपर्क साधा.
Synopsys* Synplify*, Synplify Pro*, आणि Synplify Premier इंटेल क्वार्टस प्राइम सॉफ्टवेअरला समर्थन देणार्‍या Synopsys Synplify, Synplify Pro आणि Synplify प्रीमियर आवृत्त्या सामान्यत: इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या प्रकाशनानंतर रिलीझ केल्या जातात. Intel Quartus Prime Standard Edition Software Release Version 22.1std चे समर्थन करणार्‍या Synopsys Synplify, Synplify Pro आणि Synplify Premier च्या आवृत्त्यांसाठी Synopsys शी संपर्क साधा.

तक्ता 10.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनला सपोर्ट करणारी सिम्युलेशन टूल्स सॉफ्टवेअर रिलीझ आवृत्ती 22.1std
खालील सिम्युलेशन टूल्स आरटीएल आणि फंक्शनल गेट-लेव्हल सिम्युलेशन प्रदान करतात. फक्त 64-बिट सिम्युलेशन साधने समर्थित आहेत.

सिम्युलेशन साधने आवृत्ती
Aldec सक्रिय-HDL 13.0 (केवळ विंडोज)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* समांतर लॉजिक सिम्युलेशन 21.09.003 (फक्त लिनक्स*)
Questa-Intel FPGA संस्करण 2021.2
सीमेन्स ईडीए मॉडेलसिम एसई 2020.4
सीमेन्स ईडीए क्वेस्टा प्रगत सिम्युलेटर 2020.4
Synopsys VCS* आणि VCS MX P-2019.06-SP2-5 (फक्त लिनक्स)

Questa-Intel FPGA संस्करणासाठी FlexLM परवाना देणारी डिमन आवृत्ती 11.16.4.0 (किंवा नंतरची) आवश्यक आहे. तुम्ही Intel FPGA सॉफ्टवेअरसाठी FlexLM लायसन्स डिमनकडून परवाना देणारा डिमन मिळवू शकता. web पृष्ठ
तुम्ही FPGAs साठी डाउनलोड केंद्रावरून सिम्युलेशन टूल्सची Intel FPGA संस्करण मिळवू शकता.
Questa-Intel FPGA संस्करण 2021.2 साठी ऑपरेटिंग सिस्टम सपोर्ट

  • Red Hat Enterprise Linux 7 (64-बिट)
  • Red Hat Enterprise Linux 8 (64-बिट)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • विंडोज १० (६४-बिट)

संबंधित माहिती

  • लिनक्ससाठी इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन डिझाइन सॉफ्टवेअर
  • लिनक्ससाठी इंटेल क्वार्टस प्राइम लाइट एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम लाइट एडिशन डिझाइन सॉफ्टवेअर

१.९. अँटीव्हायरस सत्यापन
इंटेल क्वार्टस प्राइम सॉफ्टवेअर खालील सॉफ्टवेअरसह व्हायरस मुक्त असल्याचे सत्यापित केले गेले आहे:
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनसाठी अँटीव्हायरस पडताळणी सॉफ्टवेअर आवृत्ती 22.1std.1
Linux64 आवृत्तीसाठी McAfee VirusScan कमांड लाइन: 7.0.0.477
AV इंजिन आवृत्ती: Linux6300.9389 साठी 64.
डेटा सेट आवृत्ती: 10629 22 फेब्रुवारी 2023 रोजी तयार केली
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशनसाठी अँटीव्हायरस पडताळणी सॉफ्टवेअर आवृत्ती 22.1std
Linux64 आवृत्तीसाठी McAfee VirusScan कमांड लाइन: 7.0.0.477
AV इंजिन आवृत्ती: Linux6300.9389 साठी 64.
डेटा सेट आवृत्ती: 10505 ऑक्टोबर 19 2022 रोजी तयार केली

1.10. सॉफ्टवेअर समस्यांचे निराकरण केले
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std.1 मध्ये कोणत्याही ग्राहक सेवा विनंत्यांचे निराकरण केले गेले नाही.
खालील ग्राहक सेवा विनंत्या इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std मध्ये निश्चित केल्या गेल्या किंवा अन्यथा निराकरण केल्या गेल्या:
तक्ता 11.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std मध्ये समस्यांचे निराकरण झाले

इंटेल प्रीमियर सपोर्ट केस नंबर
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. या रिलीझमध्ये सॉफ्टवेअर पॅचेस समाविष्ट आहेत
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std.1 मध्ये इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरच्या मागील आवृत्त्यांसाठी खालील पॅच आहेत:
तक्ता 12.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्तीमध्ये सॉफ्टवेअर पॅचेस समाविष्ट आहेत 22.1वी.1

सॉफ्टवेअर आवृत्ती पॅच ग्राहक सेवा विनंती क्रमांक
इंटेल क्वार्टस प्राइम आवृत्ती 22.1 0.01वी
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.14वी 00741067

इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std मध्ये इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअरच्या मागील आवृत्त्यांसाठी खालील पॅच आहेत:
तक्ता 13. इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std मध्ये सॉफ्टवेअर पॅचेस समाविष्ट आहेत

सॉफ्टवेअर आवृत्ती पॅच ग्राहक सेवा विनंती क्रमांक
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.10वी
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.08वी 00693884
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.07वी 00501636
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.06वी 00689611
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.04stdp
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.03वी
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.02वी
इंटेल क्वार्टस प्राइम आवृत्ती 20.1.1 1.09वी 00702107
इंटेल क्वार्टस प्राइम आवृत्ती 20.1 0.14वी 00702107
इंटेल क्वार्टस प्राइम आवृत्ती 18.1.1 1.13वी
इंटेल क्वार्टस प्राइम आवृत्ती 18.1.1 1.12वी
इंटेल क्वार्टस प्राइम आवृत्ती 18.1.1 1.09वी
इंटेल क्वार्टस प्राइम आवृत्ती 18.1 0.23वी 00698210
इंटेल क्वार्टस प्राइम आवृत्ती 18.1 0.21वी 00669646
इंटेल क्वार्टस प्राइम आवृत्ती 18.1 0.20वी 00689611

1.12. नवीनतम ज्ञात इंटेल क्वार्टस प्राइम सॉफ्टवेअर समस्या
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std ला प्रभावित करणार्‍या ज्ञात समस्यांबद्दल माहिती इंटेल FPGA नॉलेज बेसमध्ये उपलब्ध आहे.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std वर परिणाम करणाऱ्या समस्यांबद्दल नवीनतम माहितीसाठी, पुन्हाview इंटेल एफपीजीए नॉलेज बेस लेख जे इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std वर लागू होतात.
तक्ता 14.
इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन आवृत्ती 22.1std वर परिणाम करणारे महत्त्वाचे ज्ञात समस्या

वर्णन वर्कअराउंड
मायक्रोसॉफ्ट* विंडोज सिस्टमवर, एसडीआय II इंटेल एफपीजीए आयपी डिझाइन उदाample जनरेशन खालील त्रुटी संदेशासह अयशस्वी होते:
एरर: एक्स व्युत्पन्न करण्यात अयशस्वीampले डिझाइन माजीample_design to:: \sdi_ii_0_example_design
तपशीलांसाठी आणि निराकरणाच्या उपलब्धतेसाठी, पहा एसडीआय II इंटेल एफपीजीए आयपी डिझाइन का करते exampविंडोजसाठी इंटेल क्वार्टस प्राइम सॉफ्टवेअर वापरताना ले जनरेशन अयशस्वी होते? इंटेल एफपीजीए नॉलेज बेसमध्ये.
Microsoft Windows सिस्टीमवर, Intel Arria 10 EMIF Ex जनरेट करताना खालील एरर येतेampअनुकरणासाठी डिझाइन:
त्रुटी: emif_0: सिम्युलेशन एक्स व्युत्पन्न करताना एक त्रुटी आली आहेampले डिझाइन. तपशीलांसाठी make_sim_design_errors.log पहा.
एरर: एक्स व्युत्पन्न करण्यात अयशस्वीampले डिझाइन ते:ample design Directory > Generate Example डिझाइन: त्रुटींसह पूर्ण
तुम्ही या चेतावणी संदेशांकडे सुरक्षितपणे दुर्लक्ष करू शकता. अनुकरण file Siemens EDA Questa आणि Aldec Riviera-PRO सिम्युलेशन सॉफ्टवेअरचे संच तयार केले जातात आणि त्यामध्ये संबंधित डिझाइन असते fileसिम्युलेशन यशस्वीरित्या चालवण्यासाठी s. अधिक तपशीलांसाठी आणि निराकरणाच्या उपलब्धतेसाठी, पहा Intel Arria 10 EMIF Exampविंडोजसाठी इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर व्हर्जन 22.1 वापरताना डिझाईन जनरेशन फेल? इंटेल एफपीजीए नॉलेज बेसमध्ये.
जेव्हा तुम्ही Intel Arria 10 EMIF IP स्किप कॅलिब्रेशन मोड वापरता, तेव्हा Intel Arria 10 EMIF IP चे सिम्युलेशन Siemens EDA Questa सिम्युलेशन सॉफ्टवेअरसह (Siemens EDA Questa)
प्रगत सिम्युलेटर किंवा Questa-Intel FPGA संस्करण) हँग होऊ शकते.
फास्ट सिम्युलेशन सिम्युलेशन पर्यायासाठी अ‍ॅबस्ट्रॅक्ट PHY वापरा.
अधिक तपशीलांसाठी आणि निराकरणाच्या उपलब्धतेसाठी, पहा इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आवृत्ती 10 वापरताना मेंटर सिम्युलेटरमधील इंटेल एरिया 22.1 ईएमआयएफ आयपीचे सिम्युलेशन का हँग होते? इंटेल एफपीजीए नॉलेज बेसमध्ये.

इंटेल एफपीजीए नॉलेज बेसवर तुम्हाला क्वार्टस प्राइम सॉफ्टवेअरच्या मागील आवृत्त्यांसाठी ज्ञात समस्या माहिती मिळू शकते. web पृष्ठ
क्वार्टस II सॉफ्टवेअरच्या मागील आवृत्त्यांवर परिणाम करणाऱ्या ज्ञात सॉफ्टवेअर समस्यांबद्दल माहिती इंटेल क्वार्टस प्राइम आणि क्वार्टस II सॉफ्टवेअर सपोर्टवर उपलब्ध आहे. web पृष्ठ
Intel FPGA IP लायब्ररीवर परिणाम करणाऱ्या समस्यांबद्दल माहिती प्रत्येक IP साठी रिलीज नोट्समध्ये उपलब्ध आहे. तुम्हाला Intel FPGA डॉक्युमेंटेशन इंडेक्सवर आयपी रिलीझ नोट्स मिळू शकतात web पृष्ठ
संबंधित माहिती

  • इंटेल एफपीजीए नॉलेज बेस
  • इंटेल क्वार्टस प्राइम आणि क्वार्टस II सॉफ्टवेअर सपोर्ट
  • इंटेल एफपीजीए आणि प्रोग्राम करण्यायोग्य उपकरणे रिलीझ नोट्स

1.13. इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आणि डिव्हाइस समर्थन प्रकाशन नोट्स संग्रहण
या रिलीझ नोट्सच्या नवीनतम आणि मागील आवृत्त्यांसाठी, इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीझ नोट्स पहा. सॉफ्टवेअर आवृत्ती सूचीबद्ध नसल्यास, मागील सॉफ्टवेअर आवृत्तीसाठी रिलीज नोट्स लागू होतात.
1.14. इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर रिलीझ आवृत्ती 22.1std दस्तऐवज पुनरावृत्ती इतिहास

दस्तऐवज आवृत्ती इंटेल क्वार्टस प्राइम आवृत्ती बदल
2023.03.21 22.1वी.1 • आवृत्ती 22.1std.1 साठी अद्यतनित
• आवृत्ती 22.1std साठी दुरुस्त केलेला आवृत्ती क्रमांक.
2022.11.07 22.1वी • अद्यतनित नवीनतम ज्ञात सॉफ्टवेअर समस्या.
2022.10.31 22.1वी • प्रारंभिक प्रकाशनात.

इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन: आवृत्ती 22.1std सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीझ नोट्स

intel 25G इथरनेट इंटेल FPGA IP - प्रतीक 1 ऑनलाइन आवृत्ती
intel 25G इथरनेट इंटेल FPGA IP - प्रतीक 2 अभिप्राय पाठवा
ID: 683593
RN-01080-22.1std
आवृत्ती: 2023.03.21

कागदपत्रे / संसाधने

इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन [pdf] वापरकर्ता मार्गदर्शक
क्वार्टस प्राइम मानक संस्करण, प्राइम मानक संस्करण, मानक संस्करण

संदर्भ

एक टिप्पणी द्या

तुमचा ईमेल पत्ता प्रकाशित केला जाणार नाही. आवश्यक फील्ड चिन्हांकित आहेत *