इंटेल लोगोeCPRI Intel® FPGA IP डिझाइन
Exampवापरकर्ता मार्गदर्शक
Intel® साठी अपडेट केले
क्वार्टस®
प्राइम डिझाईन सूट: 23.1
IP आवृत्ती: 2.0.3

द्रुत प्रारंभ मार्गदर्शक

वर्धित कॉमन पब्लिक रेडिओ इंटरफेस (eCPRI) Intel® FPGA IP कोर eCPRI तपशील आवृत्ती 2.0 लागू करतो. eCPRI इंटेल FPGA IP सिम्युलेशन टेस्टबेंच आणि हार्डवेअर डिझाइन एक्स प्रदान करतेample जे संकलन आणि हार्डवेअर चाचणीचे समर्थन करते. तुम्ही डिझाईन व्युत्पन्न करता तेव्हा माजीample, पॅरामीटर एडिटर आपोआप तयार करतो fileडिझाइनचे अनुकरण करणे, संकलित करणे आणि चाचणी करणे आवश्यक आहेampहार्डवेअर मध्ये le.
संकलित हार्डवेअर डिझाइन उदाample चालते:

  • Intel Agilex™ 7 I-Series FPGA डेव्हलपमेंट किट
  • Intel Agilex 7 I-Series Transceiver-SoC डेव्हलपमेंट किट
  • Intel Agilex 7 F-Series Transceiver-SoC डेव्हलपमेंट किट
  • इंटेल स्ट्रॅटिक्स® 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट एच-टाइल डिझाइनसाठीampलेस
  • ई-टाइल डिझाइनसाठी इंटेल स्ट्रॅटिक्स 10 TX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किटampलेस
  • Intel Arria® 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट

इंटेल केवळ संकलन-पूर्व प्रदान करतेample प्रोजेक्ट ज्याचा वापर तुम्ही IP कोर क्षेत्र आणि वेळेचा द्रुतपणे अंदाज लावण्यासाठी करू शकता.
टेस्टबेंच आणि डिझाइन माजीample 25G आणि 10G डेटा दरांना समर्थन देते Intel Stratix 10 H-tile किंवा E-tile आणि Intel Agilex 7 E-tile किंवा F-tile डिव्हाइसच्या eCPRI IP च्या भिन्नतेसाठी.

टीप: eCPRI IP डिझाइन माजीample विथ इंटरवर्किंग फंक्शन (IWF) सध्याच्या रिलीझमध्ये फक्त 9.8 Gbps CPRI लाइन बिट रेटसाठी उपलब्ध आहे.
टीप: eCPRI IP डिझाइन माजीample Intel Arria 10 डिझाईन्समध्ये 10G डेटा दरासाठी डायनॅमिक रीकॉन्फिगरेशनला समर्थन देत नाही.

eCPRI इंटेल FPGA IP कोर डिझाइन माजीample खालील वैशिष्ट्यांना समर्थन देते:

  • अंतर्गत TX ते RX सीरियल लूपबॅक मोड
  • वाहतूक जनरेटर आणि तपासक
  • मूलभूत पॅकेट तपासणी क्षमता
  • डिझाइन चालविण्यासाठी सिस्टम कन्सोल वापरण्याची क्षमता आणि पुन्हा-चाचणी हेतूसाठी डिझाइन रीसेट

इंटेल कॉर्पोरेशन. सर्व हक्क राखीव. इंटेल, इंटेल लोगो आणि इतर इंटेल चिन्ह हे इंटेल कॉर्पोरेशन किंवा त्याच्या उपकंपन्यांचे ट्रेडमार्क आहेत. इंटेल त्याच्या FPGA आणि सेमीकंडक्टर उत्पादनांच्या कार्यप्रदर्शनास इंटेलच्या मानक वॉरंटीनुसार वर्तमान वैशिष्ट्यांनुसार वॉरंटी देते, परंतु कोणत्याही वेळी कोणतीही सूचना न देता कोणतीही उत्पादने आणि सेवांमध्ये बदल करण्याचा अधिकार राखून ठेवते. इंटेलने लिखित स्वरूपात स्पष्टपणे मान्य केल्याशिवाय येथे वर्णन केलेल्या कोणत्याही माहिती, उत्पादन किंवा सेवेच्या अर्जामुळे किंवा वापरामुळे उद्भवणारी कोणतीही जबाबदारी किंवा उत्तरदायित्व इंटेल गृहीत धरत नाही. इंटेल ग्राहकांना कोणत्याही प्रकाशित माहितीवर विसंबून राहण्यापूर्वी आणि उत्पादने किंवा सेवांसाठी ऑर्डर देण्यापूर्वी डिव्हाइस वैशिष्ट्यांची नवीनतम आवृत्ती मिळविण्याचा सल्ला दिला जातो. *इतर नावे आणि ब्रँडवर इतरांची मालमत्ता म्हणून दावा केला जाऊ शकतो.

ISO 9001:2015 नोंदणीकृत

आकृती 1. डिझाईनसाठी विकासाची पायरी उदाampleeCPRI इंटेल FPGA IP डिझाइन - आकृती 1

संबंधित माहिती

  • eCPRI इंटेल FPGA IP वापरकर्ता मार्गदर्शक
  • eCPRI इंटेल FPGA IP प्रकाशन नोट्स

१.१. हार्डवेअर आणि सॉफ्टवेअर आवश्यकता
माजी चाचणी करण्यासाठीample डिझाइन, खालील हार्डवेअर आणि सॉफ्टवेअर वापरा:

  • इंटेल क्वार्टस® प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 23.1
  • सिस्टम कन्सोल
  • समर्थित सिम्युलेटर:
    - सीमेन्स* EDA QuestaSim*
    - Synopsys* VCS*
    - सिनोप्सी व्हीसीएस एमएक्स
    — Aldec* Riviera-PRO*
    - कॅडन्स * एक्सेलियम *
  • विकास किट:
    — Intel Agilex 7 I-Series FPGA डेव्हलपमेंट किट
    — Intel Agilex 7 I-Series Transceiver-SoC डेव्हलपमेंट किट
    — Intel Agilex 7 F-Series Transceiver-SoC डेव्हलपमेंट किट
    — इंटेल स्ट्रॅटिक्स 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट एच-टाइल डिव्हाइस व्हेरिएशन डिझाइनसाठीample
    — इंटेल स्ट्रॅटिक्स 10 TX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट फॉर ई-टाइल डिव्हाइस व्हेरिएशन डिझाइन एक्सample
    — इंटेल एरिया 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट

संबंधित माहिती

  • Intel Agilex 7 I-Series FPGA डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • Intel Agilex 7 I-Series Transceiver-SoC डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • Intel Agilex 7 F-Series Transceiver-SoC डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल स्ट्रॅटिक्स 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल स्ट्रॅटिक्स 10 TX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल एरिया 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक

१.२. डिझाइन तयार करणे
पूर्वआवश्यकता: तुम्ही eCPRI प्राप्त केल्यानंतर web-कोर आयपी, जतन करा web- स्थानिक क्षेत्रासाठी कोर इंस्टॉलर. Windows/Linux सह इंस्टॉलर चालवा. सूचित केल्यावर, स्थापित करा webइंटेल क्वार्टस प्राइम फोल्डरच्या समान स्थानावर कोर.
eCPRI इंटेल FPGA IP आता IP कॅटलॉगमध्ये दिसतो.
तुमच्याकडे आधीपासून इंटेल क्वार्टस प्राइम प्रो एडिशन प्रोजेक्ट नसेल ज्यामध्ये तुमचा eCPRI इंटेल FPGA IP कोर समाकलित करायचा असेल, तर तुम्ही एक तयार करणे आवश्यक आहे.

  1. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये क्लिक करा File ➤ नवीन इंटेल क्वार्टस प्राइम प्रोजेक्ट तयार करण्यासाठी नवीन प्रोजेक्ट विझार्ड किंवा क्लिक करा File ➤ विद्यमान इंटेल क्वार्टस प्राइम प्रकल्प उघडण्यासाठी प्रकल्प उघडा. विझार्ड आपल्याला डिव्हाइस निर्दिष्ट करण्यास सूचित करतो.
  2. डिव्हाइस कुटुंब आणि स्पीड ग्रेड आवश्यकता पूर्ण करणारे डिव्हाइस निर्दिष्ट करा.
  3. समाप्त क्लिक करा.
  4. IP कॅटलॉगमध्ये, eCPRI Intel FPGA IP शोधा आणि डबल-क्लिक करा. नवीन आयपी व्हेरिएंट विंडो दिसेल.

eCPRI IP हार्डवेअर डिझाइन तयार करण्यासाठी या चरणांचे अनुसरण कराample आणि testbench:

  1. IP कॅटलॉगमध्ये, eCPRI Intel FPGA IP शोधा आणि डबल-क्लिक करा. नवीन आयपी व्हेरिएंट विंडो दिसेल.
  2. ओके क्लिक करा. पॅरामीटर एडिटर दिसेल.
    आकृती 2. ExampeCPRI इंटेल FPGA IP पॅरामीटर एडिटरमध्ये le डिझाईन टॅबeCPRI इंटेल FPGA IP डिझाइन - आकृती 2
  3. उच्च-स्तरीय नाव निर्दिष्ट करा तुमच्या सानुकूल IP भिन्नतेसाठी. पॅरामीटर एडिटर आयपी व्हेरिएशन सेटिंग्ज a मध्ये सेव्ह करतो file नाव दिले .ip
  4. ओके क्लिक करा. पॅरामीटर एडिटर दिसेल.
  5. सामान्य टॅबवर, तुमच्या IP कोर भिन्नतेसाठी पॅरामीटर्स निर्दिष्ट करा.
    टीप: • तुम्ही eCPRI IP पॅरामीटर एडिटरमध्ये स्ट्रीमिंग पॅरामीटर चालू करणे आवश्यक आहे.ampइंटरवर्किंग फंक्शन (IWF) सपोर्ट पॅरामीटर सक्षम केलेले,
    • डिझाइन एक्स व्युत्पन्न करताना तुम्ही सीपीआरआय लाइन बिट रेट (Gbit/s) इतरांसाठी सेट करणे आवश्यक आहेampइंटरवर्किंग फंक्शन (IWF) सपोर्ट पॅरामीटर सक्षम केले आहे.
  6. माजी वरampले डिझाईन टॅब, टेस्टबेंच जनरेट करण्यासाठी सिम्युलेशन पर्याय निवडा, हार्डवेअर एक्स व्युत्पन्न करण्यासाठी सिंथेसिस पर्याय निवडाample डिझाइन, आणि टेस्टबेंच आणि हार्डवेअर डिझाइन दोन्ही व्युत्पन्न करण्यासाठी सिंथेसिस आणि सिम्युलेशन पर्याय निवडाampले
  7. उच्च स्तरीय सिम्युलेशनसाठी भाषेसाठी file, Verilog किंवा VHDL निवडा.
    टीप: जेव्हा तुम्ही तुमच्या माजी व्यक्तीसाठी सिम्युलेशन पर्याय निवडता तेव्हाच हा पर्याय उपलब्ध होतोampले डिझाइन.
  8. उच्च स्तरीय संश्लेषणासाठी भाषेसाठी file, Verilog किंवा VHDL निवडा.
    टीप: जेव्हा तुम्ही तुमच्या माजी व्यक्तीसाठी सिंथेसिस पर्याय निवडता तेव्हाच हा पर्याय उपलब्ध होतोampले डिझाइन.
  9. चॅनेलच्या संख्येसाठी, तुम्ही तुमच्या डिझाइनसाठी असलेल्या चॅनेलची संख्या (1 ते 4) प्रविष्ट करू शकता. डीफॉल्ट मूल्य 1 आहे.
  10. जनरेट एक्स वर क्लिक कराampले डिझाइन. निवडा माजीampले डिझाईन डिरेक्टरी विंडो दिसेल.
  11. जर तुम्हाला डिझाइनमध्ये बदल करायचे असतील तर माजीampडिफॉल्ट्समधून le निर्देशिका पथ किंवा नाव (ecpri_0_testbench), नवीन पथ ब्राउझ करा आणि नवीन डिझाइन ex टाइप कराample निर्देशिका नाव.
  12. ओके क्लिक करा.

संबंधित माहिती
eCPRI इंटेल FPGA IP वापरकर्ता मार्गदर्शक
२.१. निर्देशिका संरचना
eCPRI IP कोर डिझाइन उदाample file डिरेक्टरीमध्ये खालील व्युत्पन्न केलेले असतात files डिझाइन माजीampले

आकृती 3. व्युत्पन्न एक्स डिरेक्टरी स्ट्रक्चरampले डिझाइनeCPRI इंटेल FPGA IP डिझाइन - आकृती 3

टीप:

  1. फक्त इंटेल एरिया 10 आयपी डिझाइन एक्समध्ये उपस्थित आहेampभिन्नता
  2. केवळ इंटेल स्ट्रॅटिक्स 10 (एच-टाइल किंवा ई-टाइल) आयपी डिझाइनमध्ये उपस्थित आहेampभिन्नता
  3. केवळ इंटेल एजिलेक्स ई-टाइल आयपी डिझाइनमध्ये उपस्थित आहेampभिन्नता

तक्ता 1. eCPRI इंटेल FPGA IP कोर टेस्टबेंच File वर्णने

File नावे  वर्णन
की टेस्टबेंच आणि सिम्युलेशन Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv उच्च-स्तरीय टेस्टबेंच file. टेस्टबेंच DUT रॅपर इन्स्टंट करते आणि पॅकेट्स व्युत्पन्न आणि स्वीकारण्यासाठी वेरिलॉग एचडीएल टास्क चालवते.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT रॅपर जे DUT आणि इतर टेस्टबेंच घटकांना इन्स्टंट करते.
<design_example_dir>/simulation/ed_fw/flow.c सी-कोड स्रोत file.
टेस्टबेंच स्क्रिप्ट्स
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do टेस्टबेंच चालवण्यासाठी Siemens EDA QuestaSim स्क्रिप्ट.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh टेस्टबेंच चालवण्यासाठी Synopsys VCS स्क्रिप्ट.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX स्क्रिप्ट (संयुक्त वेरिलॉग एचडीएल आणि
VHDL सह SystemVerilog) टेस्टबेंच चालवण्यासाठी.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl टेस्टबेंच चालवण्यासाठी Aldec* Riviera-PRO स्क्रिप्ट.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh टेस्टबेंच चालवण्यासाठी Cadence* Xcelium स्क्रिप्ट.

तक्ता 2. eCPRI इंटेल FPGA IP कोर हार्डवेअर डिझाइन उदाample File वर्णने

File नावे वर्णने
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf इंटेल क्वार्टस प्राइम प्रकल्प file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf इंटेल क्वार्टस प्राइम प्रोजेक्ट सेटिंग file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys डिझाइन मर्यादा files तुम्ही या कॉपी आणि सुधारित करू शकता files तुमच्या स्वतःच्या इंटेल स्ट्रॅटिक्स 10 डिझाइनसाठी.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv उच्च-स्तरीय व्हेरिलॉग एचडीएल डिझाइन उदाample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT रॅपर जे DUT आणि इतर टेस्टबेंच घटकांना इन्स्टंट करते.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl मुख्य file सिस्टम कन्सोलमध्ये प्रवेश करण्यासाठी (इंटेल स्ट्रॅटिक्स 10 एच-टाइल आणि ई-टाइल डिझाइनमध्ये उपलब्ध).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl मुख्य file सिस्टम कन्सोलमध्ये प्रवेश करण्यासाठी (Intel Arria 10 डिझाइनमध्ये उपलब्ध).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl मुख्य file सिस्टम कन्सोलमध्ये प्रवेश करण्यासाठी (Intel Agilex 7 डिझाइनमध्ये उपलब्ध).

२.३. डिझाइनचे अनुकरण करणे उदाampले टेस्टबेंच
आकृती 4. प्रक्रियाeCPRI इंटेल FPGA IP डिझाइन - आकृती 4

टेस्टबेंचचे अनुकरण करण्यासाठी या चरणांचे अनुसरण करा:

  1. कमांड प्रॉम्प्टवर, testbench सिम्युलेशन निर्देशिकेत बदलाample_dir>/simulation/setup_scripts.
  2. इंटेल एजिलेक्स एफ-टाइल उपकरण भिन्नतेसाठी, या चरणांचे अनुसरण करा:
    a वर नेव्हिगेट कराample_dir>/simulation/quartus डिरेक्टरी आणि खालील दोन कमांड चालवा: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    वैकल्पिकरित्या, तुम्ही इंटेल क्वार्टस प्राइम प्रो एडिशनमध्ये ecpri_ed.qpf प्रोजेक्ट उघडू शकता आणि सपोर्ट लॉजिक जनरेशन पर्यंत संकलन करू शकता.tage.
    b वर नेव्हिगेट कराample_dir>/simulation/setup_scripts निर्देशिका.
    c खालील आदेश चालवा: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf
  3. तुमच्या पसंतीच्या समर्थित सिम्युलेटरसाठी सिम्युलेशन स्क्रिप्ट चालवा. स्क्रिप्ट संकलित करते आणि सिम्युलेटरमध्ये टेस्टबेंच चालवते. टेबलचा संदर्भ घ्या टेस्टबेंचचे अनुकरण करण्यासाठी पायऱ्या.
    टीप: सिम्युलेशनसाठी VHDL भाषा समर्थन फक्त QuestaSim आणि VCS MX सिम्युलेटरसह उपलब्ध आहे. सिम्युलेशनसाठी वेरिलॉग भाषा समर्थन टेबलमध्ये सूचीबद्ध केलेल्या सर्व सिम्युलेटरसाठी उपलब्ध आहे: टेस्टबेंचचे अनुकरण करण्यासाठी चरण.
  4. परिणामांचे विश्लेषण करा. यशस्वी टेस्टबेंच पॅकेट पाठवते आणि प्राप्त करते आणि "PASSED" प्रदर्शित करते.

तक्ता 3. टेस्टबेंचचे अनुकरण करण्यासाठी पायऱ्या

सिम्युलेटर सूचना
क्वेस्टासिम कमांड लाइनमध्ये, vsim -do run_vsim.do टाइप करा जर तुम्ही QuestaSim GUI न आणता सिम्युलेट करण्यास प्राधान्य देत असाल तर vsim -c -do run_vsim.do टाइप करा.
VCS • कमांड लाइनमध्ये, sh run_vcs.sh टाइप करा
• वर नेव्हिगेट कराample_dir>/simulation/setup_scripts/ synopsys/vcs आणि खालील आदेश चालवा: sh run_vcs.sh
VCS MX कमांड लाइनमध्ये sh run_vcsmx.sh टाइप करा
रिव्हिएरा-PRO कमांड लाइनमध्ये, vsim -c -do run_rivierapro.tcl टाइप करा
टीप: केवळ इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइन फरकांमध्ये समर्थित.
एक्सेलियम(1) कमांड लाइनमध्ये sh run_xcelium.sh टाइप करा
  1. हे सिम्युलेटर eCPRI इंटेल FPGA IP डिझाइन माजी साठी समर्थित नाहीample व्युत्पन्न IWF वैशिष्ट्य सक्षम.

Sample आउटपुट: खालील एसample आउटपुट eCPRI IP डिझाईन ex च्या यशस्वी सिम्युलेशन चाचणीचे वर्णन करतेample IWF वैशिष्ट्याशिवाय चॅनेलच्या संख्येसह सक्षम केले = 4:

# RX संरेखनाची प्रतीक्षा करत आहे
# RX डेस्क्यू लॉक केले
# RX लेन संरेखन लॉक केले
# लिंक फॉल्ट क्लिअर होण्याची वाट पाहत आहे
# लिंक फॉल्ट क्लिअर
# MAC स्त्रोत पत्ता 0_0 चॅनल 0: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 0: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 0: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 0: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 0: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 0: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 0: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 0: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 0: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 0: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 0: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 0: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 0: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 0: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 0: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 0: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 0: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 0: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 0: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 0: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 0: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 1: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 1: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 1: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 1: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 1: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 1: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 1: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 1: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 1: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 1: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 1: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 1: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 1: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 1: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 1: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 1: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 1: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 1: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 1: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 1: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 1: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 2: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 2: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 2: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 2: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 2: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 2: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 2: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 2: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 2: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 2: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 2: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 2: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 2: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 2: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 2: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 2: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 2: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 2: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 2: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 2: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 2: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 3: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 3: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 3: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 3: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 3: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 3: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 3: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 3: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 3: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 3: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 3: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 3: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 3: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 3: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 3: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 3: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 3: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 3: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 3: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 3: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 3: 2
#_________________________________________________________
# माहिती: रीसेट स्थिती संपली आहे
#_________________________________________________________
#
#
# चॅनल 0 eCPRI TX SOPs संख्या : 0
# चॅनल 0 eCPRI TX EOPs संख्या : 0
# चॅनल 0 eCPRI RX SOPs संख्या : 0
# चॅनल 0 eCPRI RX EOPs संख्या : 0
# चॅनल 0 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 0 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 0 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 0 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 0 बाह्य RX SOPs संख्या : 0
# चॅनल 0 बाह्य RX EOPs संख्या : 0
# चॅनल 1 eCPRI TX SOPs संख्या : 0
# चॅनल 1 eCPRI TX EOPs संख्या : 0
# चॅनल 1 eCPRI RX SOPs संख्या : 0
# चॅनल 1 eCPRI RX EOPs संख्या : 0
# चॅनल 1 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 1 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 1 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 1 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 1 बाह्य RX SOPs संख्या : 0
# चॅनल 1 बाह्य RX EOPs संख्या : 0
# चॅनल 2 eCPRI TX SOPs संख्या : 0
# चॅनल 2 eCPRI TX EOPs संख्या : 0
# चॅनल 2 eCPRI RX SOPs संख्या : 0
# चॅनल 2 eCPRI RX EOPs संख्या : 0
# चॅनल 2 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 2 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 2 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 2 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 2 बाह्य RX SOPs संख्या : 0
# चॅनल 2 बाह्य RX EOPs संख्या : 0
# चॅनल 3 eCPRI TX SOPs संख्या : 0
# चॅनल 3 eCPRI TX EOPs संख्या : 0
# चॅनल 3 eCPRI RX SOPs संख्या : 0
# चॅनल 3 eCPRI RX EOPs संख्या : 0
# चॅनल 3 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 3 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 3 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 3 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 3 बाह्य RX SOPs संख्या : 0
# चॅनल 3 बाह्य RX EOPs संख्या : 0
#_________________________________________________________
# माहिती: पॅकेट पाठवणे सुरू करा
#_________________________________________________________
#
#
# माहिती: चॅनल 0 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 0 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 0 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 0 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 0 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 0 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 1 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 1 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 1 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 2 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 2 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 2 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 3 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 3 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 3 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
#_________________________________________________________
# माहिती: पॅकेट प्रसारित करणे थांबवा
#_________________________________________________________
#
#
#_________________________________________________________
# माहिती: पॅकेट्सची आकडेवारी तपासत आहे
#_________________________________________________________
#
#
# चॅनल 0 eCPRI SOPs प्रसारित: 300
# चॅनल 0 eCPRI EOPs प्रसारित: 300
# चॅनल 0 eCPRI SOP प्राप्त झाले: 300
# चॅनल 0 eCPRI EOPs प्राप्त झाले: 300
# चॅनल 0 eCPRI त्रुटी नोंदवली: 0
# चॅनल 0 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 0 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 0 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 0 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 0 बाह्य SOP प्राप्त झाले: 132
# चॅनल 0 बाह्य EOP प्राप्त झाले: 132
# चॅनल 0 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 0 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 0 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 0 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 0 बाह्य त्रुटी नोंदवली: 0
# चॅनल 0 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 1 eCPRI SOPs प्रसारित: 300
# चॅनल 1 eCPRI EOPs प्रसारित: 300
# चॅनल 1 eCPRI SOP प्राप्त झाले: 300
# चॅनल 1 eCPRI EOPs प्राप्त झाले: 300
# चॅनल 1 eCPRI त्रुटी नोंदवली: 0
# चॅनल 1 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 1 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 1 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 1 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 1 बाह्य SOP प्राप्त झाले: 132
# चॅनल 1 बाह्य EOP प्राप्त झाले: 132
# चॅनल 1 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 1 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 1 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 1 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 1 बाह्य त्रुटी नोंदवली: 0
# चॅनल 1 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 2 eCPRI SOPs प्रसारित: 300
# चॅनल 2 eCPRI EOPs प्रसारित: 300
# चॅनल 2 eCPRI SOP प्राप्त झाले: 300
# चॅनल 2 eCPRI EOPs प्राप्त झाले: 300
# चॅनल 2 eCPRI त्रुटी नोंदवली: 0
# चॅनल 2 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 2 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 2 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 2 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 2 बाह्य SOP प्राप्त झाले: 132
# चॅनल 2 बाह्य EOP प्राप्त झाले: 132
# चॅनल 2 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 2 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 2 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 2 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 2 बाह्य त्रुटी नोंदवली: 0
# चॅनल 2 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 3 eCPRI SOPs प्रसारित: 300
# चॅनल 3 eCPRI EOPs प्रसारित: 300
# चॅनल 3 eCPRI SOP प्राप्त झाले: 300
# चॅनल 3 eCPRI EOPs प्राप्त झाले: 300
# चॅनल 3 eCPRI त्रुटी नोंदवली: 0
# चॅनल 3 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 3 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 3 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 3 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 3 बाह्य SOP प्राप्त झाले: 132
# चॅनल 3 बाह्य EOP प्राप्त झाले: 132
# चॅनल 3 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 3 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 3 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 3 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 3 बाह्य त्रुटी नोंदवली: 0
# चॅनल 3 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
#_________________________________________________________
# माहिती: चाचणी उत्तीर्ण
#
#_________________________________________________________

Sample आउटपुट: खालील एसample आउटपुट eCPRI IP डिझाईन ex च्या यशस्वी सिम्युलेशन चाचणीचे वर्णन करतेampचॅनेलच्या संख्येसह IWF वैशिष्ट्य सक्षम केले आहे = 4:

# CPRI TX सक्षम करा
# CPRI चॅनल 0 L1_CONFIG : 00000001
# CPRI चॅनल 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI चॅनल 1 L1_CONFIG : 00000001
# CPRI चॅनल 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI चॅनल 2 L1_CONFIG : 00000001
# CPRI चॅनल 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI चॅनल 3 L1_CONFIG : 00000001
# CPRI चॅनल 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX संरेखनाची प्रतीक्षा करत आहे
# RX डेस्क्यू लॉक केले
# RX लेन संरेखन लॉक केले
# लिंक फॉल्ट क्लिअर होण्याची वाट पाहत आहे
# लिंक फॉल्ट क्लिअर
# MAC स्त्रोत पत्ता 0_0 चॅनल 0: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 0: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 0: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 0: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 0: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 0: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 0: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 0: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 0: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 0: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 0: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 0: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 0: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 0: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 0: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 0: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 0: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 0: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 0: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 0: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 0: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 1: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 1: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 1: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 1: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 1: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 1: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 1: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 1: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 1: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 1: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 1: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 1: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 1: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 1: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 1: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 1: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 1: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 1: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 1: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 1: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 1: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 2: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 2: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 2: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 2: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 2: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 2: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 2: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 2: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 2: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 2: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 2: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 2: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 2: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 2: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 2: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 2: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 2: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 2: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 2: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 2: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 2: 2
# MAC स्त्रोत पत्ता 0_0 चॅनल 3: 33445566
# MAC स्त्रोत पत्ता 0_1 चॅनल 3: 00007788
# MAC गंतव्य पत्ता 0_0 चॅनल 3: 33445566
# MAC गंतव्य पत्ता 0_1 चॅनल 3: 00007788
# MAC गंतव्य पत्ता 1_0 चॅनल 3: 11223344
# MAC गंतव्य पत्ता 1_1 चॅनल 3: 00005566
# MAC गंतव्य पत्ता 2_0 चॅनल 3: 22334455
# MAC गंतव्य पत्ता 2_1 चॅनल 3: 00006677
# MAC गंतव्य पत्ता 3_0 चॅनल 3: 44556677
# MAC गंतव्य पत्ता 3_1 चॅनल 3: 00008899
# MAC गंतव्य पत्ता 4_0 चॅनल 3: 66778899
# MAC गंतव्य पत्ता 4_1 चॅनेल 3: 0000aabb
# MAC गंतव्य पत्ता 5_0 चॅनल 3: 778899aa
# MAC गंतव्य पत्ता 5_1 चॅनल 3: 0000bbcc
# MAC गंतव्य पत्ता 6_0 चॅनेल 3: 8899aabb
# MAC गंतव्य पत्ता 6_1 चॅनल 3: 0000ccdd
# MAC गंतव्य पत्ता 7_0 चॅनल 3: 99aabbcc
# MAC गंतव्य पत्ता 7_1 चॅनल 3: 0000ddee
# eCPRI कॉमन कंट्रोल चॅनल 3: 00000041
# इंटरप्ट eCPRI कॉमन कंट्रोल चॅनल 3: 00000241 सक्षम करा
# eCPRI आवृत्ती चॅनल 3: 2
# सीपीआरआयने एचएसवायएनसी लिंक अप स्टेट गाठण्याची प्रतीक्षा करत आहे
# CPRI चॅनल 0 HSYNC राज्य गाठले
# CPRI चॅनल 1 HSYNC राज्य गाठले
# CPRI चॅनल 2 HSYNC राज्य गाठले
# CPRI चॅनल 3 HSYNC राज्य गाठले
# 11100250000 nego_bitrate_complete वर 1 लिहा
# 11100650000 मतदान PROT_VER चॅनल 0
#_________________________________________________________
# 11100850000 मतदान नोंदणी: a0000010
#_________________________________________________________
# 13105050000 मतदान PROT_VER चॅनल 1
#_________________________________________________________
# 13105250000 मतदान नोंदणी: a0800010
#_________________________________________________________
# 13105950000 मतदान PROT_VER चॅनल 2
#_________________________________________________________
# 13106150000 मतदान नोंदणी: a1000010
#_________________________________________________________
# 13106850000 मतदान PROT_VER चॅनल 3
#_________________________________________________________
# 13107050000 मतदान नोंदणी: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete वर 1 लिहा
# 13108150000 मतदान CM_STATUS.rx_fast_cm_ptr_valid चॅनल 0
#_________________________________________________________
# 13108350000 मतदान नोंदणी: a0000020
#_________________________________________________________
# 14272050000 मतदान CM_STATUS.rx_fast_cm_ptr_valid चॅनल 1
#_________________________________________________________
# 14272250000 मतदान नोंदणी: a0800020
#_________________________________________________________
# 14272950000 मतदान CM_STATUS.rx_fast_cm_ptr_valid चॅनल 2
#_________________________________________________________
# 14273150000 मतदान नोंदणी: a1000020
#_________________________________________________________
# 14273850000 मतदान CM_STATUS.rx_fast_cm_ptr_valid चॅनल 3
#_________________________________________________________
# 14274050000 मतदान नोंदणी: a1800020
#_________________________________________________________
# 14274750000 nego_cm_complete वर 1 लिहा
# 14275150000 nego_vss_complete वर 1 लिहा
# HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य करण्यासाठी CPRI चॅनल 0 ची प्रतीक्षा करत आहे
# CPRI चॅनल 0 HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य केले
# HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य करण्यासाठी CPRI चॅनल 1 ची प्रतीक्षा करत आहे
# CPRI चॅनल 1 HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य केले
# HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य करण्यासाठी CPRI चॅनल 2 ची प्रतीक्षा करत आहे
# CPRI चॅनल 2 HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य केले
# HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य करण्यासाठी CPRI चॅनल 3 ची प्रतीक्षा करत आहे
# CPRI चॅनल 3 HSYNC आणि स्टार्टअप क्रम FSM STATE_F साध्य केले
#_________________________________________________________
# माहिती: रीसेट स्थिती संपली आहे
#_________________________________________________________
#
#
# चॅनल 0 eCPRI TX SOPs संख्या : 0
# चॅनल 0 eCPRI TX EOPs संख्या : 0
# चॅनल 0 eCPRI RX SOPs संख्या : 0
# चॅनल 0 eCPRI RX EOPs संख्या : 0
# चॅनल 0 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 0 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 0 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 0 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 0 बाह्य RX SOPs संख्या : 0
# चॅनल 0 बाह्य RX EOPs संख्या : 0
# चॅनल 1 eCPRI TX SOPs संख्या : 0
# चॅनल 1 eCPRI TX EOPs संख्या : 0
# चॅनल 1 eCPRI RX SOPs संख्या : 0
# चॅनल 1 eCPRI RX EOPs संख्या : 0
# चॅनल 1 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 1 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 1 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 1 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 1 बाह्य RX SOPs संख्या : 0
# चॅनल 1 बाह्य RX EOPs संख्या : 0
# चॅनल 2 eCPRI TX SOPs संख्या : 0
# चॅनल 2 eCPRI TX EOPs संख्या : 0
# चॅनल 2 eCPRI RX SOPs संख्या : 0
# चॅनल 2 eCPRI RX EOPs संख्या : 0
# चॅनल 2 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 2 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 2 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 2 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 2 बाह्य RX SOPs संख्या : 0
# चॅनल 2 बाह्य RX EOPs संख्या : 0
# चॅनल 3 eCPRI TX SOPs संख्या : 0
# चॅनल 3 eCPRI TX EOPs संख्या : 0
# चॅनल 3 eCPRI RX SOPs संख्या : 0
# चॅनल 3 eCPRI RX EOPs संख्या : 0
# चॅनल 3 बाह्य PTP TX SOPs संख्या : 0
# चॅनल 3 बाह्य PTP TX EOPs संख्या : 0
# चॅनल 3 बाह्य MISC TX SOPs संख्या : 0
# चॅनल 3 बाह्य MISC TX EOPs संख्या : 0
# चॅनल 3 बाह्य RX SOPs संख्या : 0
# चॅनल 3 बाह्य RX EOPs संख्या : 0
#_________________________________________________________
# माहिती: पॅकेट पाठवणे सुरू करा
#_________________________________________________________
#
#
# माहिती: चॅनल 0 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 0 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 0 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 0 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 0 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 0 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 1 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 1 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 1 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 1 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 2 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 2 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 2 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 2 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI TX वाहतूक हस्तांतरण पूर्ण होण्याची प्रतीक्षा करत आहे
# माहिती: चॅनल 3 eCPRI TX वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI बाह्य TX PTP ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 3 eCPRI बाह्य TX PTP वाहतूक हस्तांतरण पूर्ण झाले
# माहिती: चॅनल 3 eCPRI बाह्य TX विविध ट्रॅफिक ट्रान्सफरची वाट पाहत आहे
पूर्ण
# माहिती: चॅनल 3 eCPRI बाह्य TX विविध रहदारी हस्तांतरण पूर्ण झाले
#_________________________________________________________
# माहिती: पॅकेट प्रसारित करणे थांबवा
#_________________________________________________________
#
#
#_________________________________________________________
# माहिती: पॅकेट्सची आकडेवारी तपासत आहे
#_________________________________________________________
#
#
# चॅनल 0 eCPRI SOPs प्रसारित: 50
# चॅनल 0 eCPRI EOPs प्रसारित: 50
# चॅनल 0 eCPRI SOP प्राप्त झाले: 50
# चॅनल 0 eCPRI EOPs प्राप्त झाले: 50
# चॅनल 0 eCPRI त्रुटी नोंदवली: 0
# चॅनल 0 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 0 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 0 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 0 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 0 बाह्य SOP प्राप्त झाले: 132
# चॅनल 0 बाह्य EOP प्राप्त झाले: 132
# चॅनल 0 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 0 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 0 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 0 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 0 बाह्य त्रुटी नोंदवली: 0
# चॅनल 0 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 1 eCPRI SOPs प्रसारित: 50
# चॅनल 1 eCPRI EOPs प्रसारित: 50
# चॅनल 1 eCPRI SOP प्राप्त झाले: 50
# चॅनल 1 eCPRI EOPs प्राप्त झाले: 50
# चॅनल 1 eCPRI त्रुटी नोंदवली: 0
# चॅनल 1 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 1 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 1 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 1 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 1 बाह्य SOP प्राप्त झाले: 132
# चॅनल 1 बाह्य EOP प्राप्त झाले: 132
# चॅनल 1 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 1 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 1 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 1 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 1 बाह्य त्रुटी नोंदवली: 0
# चॅनल 1 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 2 eCPRI SOPs प्रसारित: 50
# चॅनल 2 eCPRI EOPs प्रसारित: 50
# चॅनल 2 eCPRI SOP प्राप्त झाले: 50
# चॅनल 2 eCPRI EOPs प्राप्त झाले: 50
# चॅनल 2 eCPRI त्रुटी नोंदवली: 0
# चॅनल 2 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 2 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 2 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 2 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 2 बाह्य SOP प्राप्त झाले: 132
# चॅनल 2 बाह्य EOP प्राप्त झाले: 132
# चॅनल 2 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 2 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 2 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 2 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 2 बाह्य त्रुटी नोंदवली: 0
# चॅनल 2 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
# चॅनल 3 eCPRI SOPs प्रसारित: 50
# चॅनल 3 eCPRI EOPs प्रसारित: 50
# चॅनल 3 eCPRI SOP प्राप्त झाले: 50
# चॅनल 3 eCPRI EOPs प्राप्त झाले: 50
# चॅनल 3 eCPRI त्रुटी नोंदवली: 0
# चॅनल 3 बाह्य PTP SOPs प्रसारित: 4
# चॅनल 3 बाह्य PTP EOPs प्रसारित: 4
# चॅनल 3 बाह्य MISC SOPs प्रसारित: 128
# चॅनल 3 बाह्य MISC EOPs प्रसारित: 128
# चॅनल 3 बाह्य SOP प्राप्त झाले: 132
# चॅनल 3 बाह्य EOP प्राप्त झाले: 132
# चॅनल 3 बाह्य PTP SOP प्राप्त झाले: 4
# चॅनल 3 बाह्य PTP EOP प्राप्त झाले: 4
# चॅनल 3 बाह्य MISC SOPs प्राप्त झाले: 128
# चॅनल 3 बाह्य MISC EOP प्राप्त झाले: 128
# चॅनल 3 बाह्य त्रुटी नोंदवली: 0
# चॅनल 3 बाह्य टाइमस्टamp फिंगरप्रिंट एरर नोंदवली: 0
#_________________________________________________________
# माहिती: चाचणी उत्तीर्ण
#
#_________________________________________________________

१.४.१. इथरनेट IP वर डायनॅमिक पुनर्रचना सक्षम करणे
डीफॉल्टनुसार, डायनॅमिक रीकॉन्फिगरेशन eCPRI IP डिझाइन एक्स मध्ये अक्षम केले आहेample आणि ते फक्त Intel Stratix 10 (E-tile आणि H-tile) आणि Intel Agilex 7 (E-tile) डिझाईन साठी लागू आहेampलेस

  1. व्युत्पन्न केलेल्या test_wrapper.sv मध्ये खालील ओळ पहाample_dir>/simulation/testbench निर्देशिका: पॅरामीटर ETHERNET_DR_EN = 0
  2. मूल्य 0 ते 1 पर्यंत बदला: पॅरामीटर ETHERNET_DR_EN = 1
  3. समान व्युत्पन्न केलेले एक्स वापरून सिम्युलेशन पुन्हा चालवाample डिझाइन निर्देशिका.

1.5. संकलन-केवळ प्रकल्प संकलित करणे
संकलन-केवळ संकलित करण्यासाठी माजीampप्रकल्पासाठी, या चरणांचे अनुसरण करा:

  1. संकलित डिझाइनची खात्री करा उदाampले पिढी पूर्ण झाली.
  2. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये, इंटेल क्वार्टस प्राइम प्रो एडिशन प्रोजेक्ट उघडाample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. प्रक्रिया मेनूवर, संकलन प्रारंभ करा क्लिक करा.
  4. यशस्वी संकलनानंतर, वेळेसाठी आणि संसाधनाच्या वापरासाठी अहवाल तुमच्या इंटेल क्वार्टस प्राइम प्रो एडिशन सत्रात उपलब्ध आहेत. प्रक्रिया ➤ संकलन अहवाल वर जा view संकलनाचा तपशीलवार अहवाल.
    संबंधित माहिती
    ब्लॉक-आधारित डिझाइन प्रवाह

1.6. डिझाइन संकलित करणे आणि कॉन्फिगर करणे उदाampहार्डवेअर मध्ये le
हार्डवेअर डिझाइन संकलित करण्यासाठी उदाample आणि आपल्या इंटेल डिव्हाइसवर कॉन्फिगर करा, या चरणांचे अनुसरण करा:

  1. हार्डवेअर डिझाइनची खात्री करा उदाampले पिढी पूर्ण झाली.
  2. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये, इंटेल क्वार्टस प्राइम प्रोजेक्ट उघडाample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. प्रक्रिया मेनूवर, संकलन प्रारंभ करा क्लिक करा.
  4. यशस्वी संकलनानंतर .sof file मध्ये उपलब्ध आहेample_dir>/ संश्लेषण/ क्वार्टस/आउटपुट_files निर्देशिका. हार्डवेअर डिझाइन एक्स प्रोग्राम करण्यासाठी या चरणांचे अनुसरण कराampइंटेल FPGA डिव्हाइसवर le:
    a डेव्हलपमेंट किट होस्ट संगणकाशी कनेक्ट करा.
    b क्लॉक कंट्रोल ऍप्लिकेशन लाँच करा, जो डेव्हलपमेंट किटचा भाग आहे आणि डिझाइन एक्ससाठी नवीन फ्रिक्वेन्सी सेट करा.ampले खाली घड्याळ नियंत्रण अनुप्रयोगात वारंवारता सेटिंग आहे:
    • जर तुम्ही इंटेल स्ट्रॅटिक्स 10 GX SI डेव्हलपमेंट किटवर तुमचे डिझाइन लक्ष्य करत असाल तर:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 आणि OUT5- 307.2 MHz
    • तुम्ही Intel Stratix 10 TX SI डेव्हलपमेंट किटवर तुमची रचना लक्ष्य करत असल्यास:
    — U1, CLK4- 322.265625 MHz (25G डेटा दरासाठी)
    — U6- 156.25 MHz (10G डेटा दरासाठी)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • तुम्ही Intel Agilex 7 F-Series Transceiver-SoC डेव्हलपमेंट किट वर तुमची रचना लक्ष्यित करत असल्यास:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • जर तुम्ही Intel Arria 10 GX SI डेव्हलपमेंट किट वर तुमची रचना लक्ष्य करत असाल तर:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c टूल्स मेनूवर, प्रोग्रामर क्लिक करा.
    d प्रोग्रामरमध्ये, हार्डवेअर सेटअप वर क्लिक करा.
    e प्रोग्रामिंग डिव्हाइस निवडा.
    f तुमचे इंटेल क्वार्टस प्राइम प्रो एडिशन सेशन कनेक्ट करू शकणारे डेव्हलपमेंट किट निवडा आणि जोडा.
    g मोड J वर सेट केल्याची खात्री कराTAG.
    h डिव्हाइस निवडा आणि डिव्हाइस जोडा क्लिक करा. प्रोग्रामर तुमच्या बोर्डवरील उपकरणांमधील कनेक्शनचा ब्लॉक आकृती दाखवतो.
    i .sof लोड करा file तुमच्या संबंधित इंटेल FPGA डिव्हाइसवर.
    j एक्झिक्युटेबल आणि लिंकिंग फॉरमॅट लोड करा (.elf) file तुमच्या इंटेल स्ट्रॅटिक्स 10 वर किंवा
    जर तुम्ही 7G आणि 25G दरम्यान डेटा दर स्विच करण्यासाठी डायनॅमिक रीकॉन्फिगरेशन (DR) करण्याची योजना करत असाल तर Intel Agilex 10 डिव्हाइस. एक्झिक्युटेबल आणि लिंकिंग फॉरमॅट (.elf) प्रोग्रामिंग व्युत्पन्न आणि डाउनलोड करण्याच्या सूचनांचे अनुसरण करा File .elf व्युत्पन्न करण्यासाठी पृष्ठ 38 वर file.
    k तुमच्या .sof सह पंक्तीमध्ये, .sof साठी प्रोग्राम/कॉन्फिगर बॉक्स तपासा file.
    l प्रारंभ क्लिक करा.

संबंधित माहिती

  • ब्लॉक-आधारित डिझाइन
  • इंटेल क्वार्टस प्राइम प्रोग्रामर वापरकर्ता मार्गदर्शक
  • सिस्टम कन्सोलसह डिझाइनचे विश्लेषण आणि डीबगिंग
  • Intel Agilex 7 F-Series Transceiver-SoC डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल स्ट्रॅटिक्स 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल स्ट्रॅटिक्स 10 TX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक
  • इंटेल एरिया 10 GX ट्रान्सीव्हर सिग्नल इंटिग्रिटी डेव्हलपमेंट किट वापरकर्ता मार्गदर्शक

१.७. ईसीपीआरआय इंटेल एफपीजीए आयपी डिझाइनची चाचणी करत आहेample
तुम्ही eCPRI इंटेल FPGA IP कोर डिझाइन संकलित केल्यानंतरample आणि ते तुमच्या Intel FPGA डिव्हाइसवर कॉन्फिगर करा, तुम्ही IP कोर आणि त्याच्या एम्बेडेड नेटिव्ह PHY IP कोर रजिस्टर्स प्रोग्राम करण्यासाठी सिस्टम कन्सोल वापरू शकता.
सिस्टम कन्सोल चालू करण्यासाठी आणि हार्डवेअर डिझाइनची चाचणी घेण्यासाठी उदाampनंतर, या चरणांचे अनुसरण करा:

  1. हार्डवेअर डिझाइन नंतर माजीample इंटेल उपकरणावर कॉन्फिगर केले आहे, Intel Quartus Prime Pro Edition सॉफ्टवेअरमध्ये, Tools मेनूवर, System Debugging Tools ➤ System Console वर क्लिक करा.
  2. Tcl कन्सोल उपखंडात, निर्देशिका बदलाample_dir>/ synthesis/quartus/hardware_test आणि J शी कनेक्शन उघडण्यासाठी खालील आदेश टाइप कराTAG मास्टर करा आणि चाचणी सुरू करा:
    • Intel Agilex 7 डिझाइनसाठी ecpri_agilex.tcl स्त्रोत
    • Intel Stratix 10 डिझाइन्ससाठी स्रोत ecpri_s10.tcl
    • Intel Arria 10 डिझाइनसाठी स्रोत ecpri_a10.tcl
  3. तुमच्या Intel Stratix 10 किंवा Intel Agilex 7 E-tile डिव्हाइसच्या भिन्नतेसाठी, तुम्ही एकदा .sof प्रोग्राम केल्यानंतर तुम्हाला अंतर्गत किंवा बाह्य लूपबॅक कमांड करणे आवश्यक आहे. file:
    a flow.c मध्ये TEST_MODE व्हेरिएबल सुधारित करा file लूपबॅक मोड निवडण्यासाठी:
    TEST_MODE कृती
    0 सीरियल लूपबॅक केवळ सिम्युलेशनसाठी सक्षम करा
    1 सीरियल लूपबॅक फक्त हार्डवेअरसाठी सक्षम करा
    2 सीरियल लूपबॅक आणि कॅलिब्रेशन
    3 फक्त कॅलिब्रेशन

    जेव्हाही तुम्ही flow.c बदलता तेव्हा तुम्ही NIOS II सॉफ्टवेअर पुन्हा कंपाइल आणि रीजनरेट केले पाहिजे file.
    b .elf पुन्हा निर्माण करा file आणि बोर्डाला आणखी एकदा प्रोग्राम करा आणि .sof पुन्हा प्रोग्राम करा file.

  4. सिस्टम कन्सोल स्क्रिप्टमध्ये समर्थित कमांडद्वारे डिझाइन ऑपरेशनची चाचणी घ्या. सिस्टीम कन्सोल स्क्रिप्ट आकडेवारी वाचण्यासाठी उपयुक्त कमांड प्रदान करते आणि डिझाइनमध्ये सक्षम वैशिष्ट्ये.

तक्ता 4. सिस्टम कन्सोल स्क्रिप्ट आदेश

आज्ञा वर्णन
loop_on TX ते RX अंतर्गत सीरियल लूपबॅक सक्षम करते. फक्त Intel Stratix 10 H-tile आणि Intel Arria 10 उपकरणांसाठी वापरा.
loop_off TX ते RX अंतर्गत सीरियल लूपबॅक अक्षम करते. फक्त Intel Stratix 10 H-tile आणि Intel Arria 10 उपकरणांसाठी वापरा.
लिंक _ init _ int _1pbk ट्रान्सीव्हरमध्ये TX ते RX अंतर्गत सीरियल लूपबॅक सक्षम करते आणि ट्रान्सीव्हर कॅलिब्रेशन फ्लो करते. केवळ इंटेल स्ट्रॅटिक्स 10 ई-टाइल आणि इंटेल एजिलेक्स 7 ई-टाइल डिझाइनसाठी लागू.
दुवा _ init _ ext _1pbk TX ते RX बाह्य लूपबॅक सक्षम करते आणि ट्रान्सीव्हर कॅलिब्रेशन फ्लो करते. केवळ इंटेल स्ट्रॅटिक्स 10 ई-टाइल आणि इंटेल एजिलेक्स 7 ई-टाइल डिझाइनसाठी लागू.
रहदारी जनन अक्षम करा रहदारी जनरेटर आणि तपासक अक्षम करते.
chkmac आकडेवारी इथरनेट MAC साठी आकडेवारी प्रदर्शित करते.
वाचा_चाचणी_आकडेवारी ट्रॅफिक जनरेटर आणि चेकर्ससाठी त्रुटी आकडेवारी प्रदर्शित करा.
ext _ सतत _ मोड _en संपूर्ण डिझाईन सिस्टम रीसेट करते आणि ट्रॅफिक जनरेटरला सतत ट्रॅफिक पॅकेट्स व्युत्पन्न करण्यास सक्षम करते.
dr _ 25g _ ते _ lOg _etile इथरनेट MAC चा डेटा दर 25G वरून 10G वर स्विच करते. फक्त Intel Stratix 10 E-tile आणि Intel Agilex 7 E-tile उपकरणांसाठी वापरा.
dr_25g_to_10g_htile इथरनेट MAC चा डेटा दर 25G वरून 10G वर स्विच करते. फक्त एच-टाइल उपकरणांसाठी वापरा
dr_10g_to_25g_etile इथरनेट MAC चा डेटा दर 10G वरून 25G वर स्विच करते. फक्त Intel Stratix 10 E-tile आणि Intel Agilex 7 E-tile उपकरणांसाठी वापरा.
dr _ 25g _ ते _ lOg _htile इथरनेट MAC चा डेटा दर 10G वरून 25G वर स्विच करते. फक्त एच-टाइल उपकरणांसाठी वापरा.

खालील एसample आउटपुट यशस्वी चाचणी रन दर्शवते:
सिस्टम कन्सोल प्रिंटआउट (चॅनेलची संख्या = 1)
चॅनल 0 EXT PTP TX SOP संख्या: 256
चॅनल 0 EXT PTP TX EOP संख्या: 256
चॅनल 0 EXT MISC TX SOP संख्या: 36328972
चॅनल 0 EXT MISC TX EOP संख्या: 36369511
चॅनल 0 EXT RX SOP संख्या: 36410364
चॅनल 0 EXT RX EOP संख्या: 36449971
चॅनल 0 EXT तपासक त्रुटी: 0
चॅनल 0 EXT तपासक त्रुटी संख्या: 0
चॅनल 0 EXT PTP फिंगरप्रिंट एरर: 0
चॅनल 0 EXT PTP फिंगरप्रिंट त्रुटी संख्या: 0
चॅनल 0 TX SOP संख्या: 1337760
चॅनल 0 TX EOP संख्या: 1339229
चॅनल 0 RX SOP संख्या: 1340728
चॅनल 0 RX EOP संख्या: 1342555
चॅनल 0 तपासक त्रुटी: 0
चॅनल 0 तपासक त्रुटी संख्या: 0

================================================== ============================
==============
चॅनल 0 (Rx) साठी इथरनेट मॅक आकडेवारी

================================================== ============================
==============
खंडित फ्रेम्स : 0
जाबर्ड फ्रेम्स : ०
FCS एरर फ्रेम्ससह उजवा आकार: 0
मल्टीकास्ट डेटा एरर फ्रेम्स : 0
ब्रॉडकास्ट डेटा एरर फ्रेम्स : 0
युनिकास्ट डेटा एरर फ्रेम्स : 0
६४ बाइट फ्रेम्स : ३६४१३४२
65 - 127 बाइट फ्रेम्स : 0
128 - 255 बाइट फ्रेम्स : 37404809
256 - 511 बाइट फ्रेम्स : 29128650
512 - 1023 बाइट फ्रेम्स : 0
1024 - 1518 बाइट फ्रेम्स : 0
1519 - MAX बाइट फ्रेम्स : 0
> MAX बाइट फ्रेम्स : 0
मल्टीकास्ट डेटा ओके फ्रेम : ७०१७४८०१
ब्रॉडकास्ट डेटा ओके फ्रेम : 0
युनिकास्ट डेटा ओके फ्रेम्स : ०
मल्टीकास्ट कंट्रोल फ्रेम्स : 0
ब्रॉडकास्ट कंट्रोल फ्रेम्स : 0
युनिकास्ट कंट्रोल फ्रेम्स: 0
नियंत्रण फ्रेम्स विराम द्या: 0
पेलोड ऑक्टेट्स ओके : 11505935812
फ्रेम ऑक्टेट्स ओके : १२९१८७०१४४४
Rx कमाल फ्रेम लांबी: 1518
FCS एरर फ्रेमसह कोणताही आकार: 0
मल्टीकास्ट कंट्रोल एरर फ्रेम : 0
ब्रॉडकास्ट कंट्रोल एरर फ्रेम: 0
युनिकास्ट कंट्रोल एरर फ्रेम्स: 0
पॉज कंट्रोल एरर फ्रेम्स : ०
आरएक्स फ्रेम सुरू होते: 70174801

खालील एसamp25G ते 10G DR चाचणी रनसाठी le आउटपुट:
सिस्टम कन्सोल प्रिंटआउट (25G ते 10G DR ई-टाइल)

इथरनेट 25G -> 10G साठी डायनॅमिक रीकॉन्फिगरेशन सुरू करा
DR यशस्वी 25G -> 10G
RX PHY नोंदणी प्रवेश: घड्याळ वारंवारता तपासणे (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY स्थिती मतदान
Rx वारंवारता लॉक स्थिती 0x0000000f
मॅक घड्याळ ठीक स्थितीत आहे? 0x00000001
Rx फ्रेम त्रुटी? 0x00000000
Rx PHY पूर्णपणे संरेखित? 0x00000001
मतदान RX PHY चॅनल 0
RX PHY चॅनल 0 सुरू आहे आणि चालू आहे!

सिस्टम कन्सोल प्रिंटआउट (25G ते 10G DR H-टाइल)
इथरनेट 25G -> 10G साठी डायनॅमिक रीकॉन्फिगरेशन सुरू करा
DR यशस्वी 25G -> 10G
RX PHY नोंदणी प्रवेश: घड्याळ वारंवारता तपासणे (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY स्थिती मतदान
Rx वारंवारता लॉक स्थिती 0x00000001
मॅक घड्याळ ठीक स्थितीत आहे? 0x00000007
Rx फ्रेम त्रुटी? 0x00000000
Rx PHY पूर्णपणे संरेखित? 0x00000001
मतदान RX PHY चॅनल 0
RX PHY चॅनल 0 सुरू आहे आणि चालू आहे!

सिस्टम कन्सोल प्रिंटआउट (10G ते 25G DR ई-टाइल)
इथरनेट 10G -> 25G साठी डायनॅमिक रीकॉन्फिगरेशन सुरू करा
DR यशस्वी 10G -> 25G
RX PHY नोंदणी प्रवेश: घड्याळ वारंवारता तपासणे (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY स्थिती मतदान
Rx वारंवारता लॉक स्थिती 0x0000000f
मॅक घड्याळ ठीक स्थितीत आहे? 0x00000001
Rx फ्रेम त्रुटी? 0x00000000
Rx PHY पूर्णपणे संरेखित? 0x00000001
मतदान RX PHY चॅनल 0
RX PHY चॅनल 0 सुरू आहे आणि चालू आहे!

सिस्टम कन्सोल प्रिंटआउट (10G ते 25G DR H-टाइल)
इथरनेट 10G -> 25G साठी डायनॅमिक रीकॉन्फिगरेशन सुरू करा
DR यशस्वी 10G -> 25G
RX PHY नोंदणी प्रवेश: घड्याळ वारंवारता तपासणे (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY स्थिती मतदान
Rx वारंवारता लॉक स्थिती 0x00000001
मॅक घड्याळ ठीक स्थितीत आहे? 0x00000007
Rx फ्रेम त्रुटी? 0x00000000
Rx PHY पूर्णपणे संरेखित? 0x00000001
मतदान RX PHY चॅनल 0
RX PHY चॅनल 0 सुरू आहे आणि चालू आहे!

डिझाईन माजीample वर्णन

डिझाइन माजीample eCPRI IP कोरची मूलभूत कार्यक्षमता प्रदर्शित करते. तुम्ही Ex कडून डिझाइन तयार करू शकताampeCPRI IP पॅरामीटर एडिटरमध्ये le डिझाईन टॅब.

2.1. वैशिष्ट्ये

  • अंतर्गत TX आणि RX सिरीयल लूपबॅक मोड
  • निश्चित आकाराचे पॅकेट स्वयंचलितपणे व्युत्पन्न करते
  • मूलभूत पॅकेट तपासणी क्षमता
  • डिझाइनची चाचणी घेण्यासाठी सिस्टम कन्सोल वापरण्याची क्षमता आणि पुन्हा-चाचणीच्या उद्देशाने डिझाइन रीसेट करणे

१.३. हार्डवेअर डिझाइन उदाample
आकृती 5. इंटेल एजिलेक्स 7 एफ-टाइल डिझाइनसाठी ब्लॉक डायग्रामeCPRI इंटेल FPGA IP डिझाइन - आकृती 5

इंटेल कॉर्पोरेशन. सर्व हक्क राखीव. इंटेल, इंटेल लोगो आणि इतर इंटेल चिन्ह हे इंटेल कॉर्पोरेशन किंवा त्याच्या उपकंपन्यांचे ट्रेडमार्क आहेत. इंटेल त्याच्या FPGA आणि सेमीकंडक्टर उत्पादनांच्या कार्यप्रदर्शनास इंटेलच्या मानक वॉरंटीनुसार वर्तमान वैशिष्ट्यांनुसार वॉरंटी देते, परंतु कोणत्याही वेळी कोणतीही सूचना न देता कोणतीही उत्पादने आणि सेवांमध्ये बदल करण्याचा अधिकार राखून ठेवते. इंटेलने लिखित स्वरूपात स्पष्टपणे मान्य केल्याशिवाय येथे वर्णन केलेल्या कोणत्याही माहिती, उत्पादन किंवा सेवेच्या अर्जामुळे किंवा वापरामुळे उद्भवणारी कोणतीही जबाबदारी किंवा उत्तरदायित्व इंटेल गृहीत धरत नाही. इंटेल ग्राहकांना कोणत्याही प्रकाशित माहितीवर विसंबून राहण्यापूर्वी आणि उत्पादने किंवा सेवांसाठी ऑर्डर देण्यापूर्वी डिव्हाइस वैशिष्ट्यांची नवीनतम आवृत्ती मिळविण्याचा सल्ला दिला जातो. *इतर नावे आणि ब्रँडवर इतरांची मालमत्ता म्हणून दावा केला जाऊ शकतो.

आकृती 6. इंटेल एजिलेक्स 7 ई-टाइल डिझाइनसाठी ब्लॉक आकृतीeCPRI इंटेल FPGA IP डिझाइन - आकृती 6आकृती 7. इंटेल स्ट्रॅटिक्स 10 डिझाइनसाठी ब्लॉक डायग्रामeCPRI इंटेल FPGA IP डिझाइन - आकृती 7

आकृती 8. इंटेल एरिया 10 डिझाइनसाठी ब्लॉक डायग्रामeCPRI इंटेल FPGA IP डिझाइन - आकृती 8eCPRI इंटेल FPGA IP कोर हार्डवेअर डिझाइन उदाample मध्ये खालील घटक समाविष्ट आहेत:
eCPRI इंटेल FPGA IP
चाचणी रॅपरमध्ये इन्स्टंट केलेल्या ट्रॅफिक जनरेटरकडून डेटा स्वीकारतो आणि इथरनेट IP वर ट्रान्समिशनसाठी डेटाला प्राधान्य देतो.

इथरनेट आयपी

  • एफ-टाइल इथरनेट इंटेल एफपीजीए हार्ड आयपी (इंटेल एजिलेक्स 7 एफ-टाइल डिझाइन)
  • इथरनेटसाठी ई-टाइल हार्ड आयपी (इंटेल स्ट्रॅटिक्स 10 किंवा इंटेल एजिलेक्स 7 ई-टाइल डिझाइन)
  • 25G इथरनेट इंटेल स्ट्रॅटिक्स 10 आयपी (इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइन)
  • लो लेटेंसी इथरनेट 10G MAC IP आणि 1G/10GbE आणि 10GBASE-KR PHY IP (Intel Arria 10 डिझाइन)

प्रिसिजन टाइम प्रोटोकॉल (PTP) IO PLL
इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइनसाठी—इथरनेट IP आणि s साठी लेटन्सी मापन इनपुट संदर्भ घड्याळ व्युत्पन्न करण्यासाठी त्वरितampटाइम ऑफ डे (TOD) उपप्रणालीसाठी लिंग घड्याळ. IEEE 25v10 वैशिष्ट्यासह 1588G इथरनेट इंटेल स्ट्रॅटिक्स 2 FPGA IP साठी, इंटेल तुम्हाला या घड्याळाची वारंवारता 156.25 MHz वर सेट करण्याची शिफारस करते. अधिक माहितीसाठी 25G इथरनेट Intel Stratix 10 FPGA IP वापरकर्ता मार्गदर्शक आणि Intel Stratix 10 H-tile Transceiver PHY वापरकर्ता मार्गदर्शक पहा. PTP IOPLL कॅस्केडिंग पद्धतीने eCPRI IO PLL साठी संदर्भ घड्याळ देखील तयार करते.
Intel Arria 10 डिझाईन्ससाठी—लो लेटेंसी इथरनेट 312.5G MAC IP आणि 156.25G/10GbE, 1GBASE-KR PHY IP, आणि eCPRI IP साठी 10 MHz आणि 10 MHz घड्याळ इनपुट व्युत्पन्न करण्यासाठी त्वरित.

eCPRI IO PLL
eCPRI IP च्या TX आणि RX पथ आणि रहदारी घटकांसाठी 390.625 MHz चे कोर क्लॉक आउटपुट व्युत्पन्न करते.
टीप: हा ब्लॉक फक्त डिझाईनमध्ये उपस्थित आहेample Intel Stratix 10 आणि Intel Agilex 7 उपकरणांसाठी व्युत्पन्न केले.

टीप: eCPRI इंटेल FPGA IP ची वर्तमान आवृत्ती फक्त IWF प्रकार 0 ला समर्थन देते. Intel Agilex 7 F-tile उपकरणांसाठी, डिझाइन माजीample सक्षम केलेले IWF वैशिष्ट्य समर्थित नाही.
जेव्हा तुम्ही डिझाईन तयार करता उदाample सह इंटरवर्किंग फंक्शन (IWF) सपोर्ट पॅरामीटर बंद केले आहे, पॅकेट ट्रॅफिक चाचणी रॅपर मॉड्यूलमधून थेट Avalon-ST स्त्रोत/सिंक इंटरफेस आणि eCPRI IP च्या बाह्य स्त्रोत/सिंक इंटरफेसकडे वाहते.
जेव्हा तुम्ही डिझाईन तयार करता उदाample सह इंटरवर्किंग फंक्शन (IWF) सपोर्ट पॅरामीटर चालू केले आहे, पॅकेट ट्रॅफिक प्रथम टेस्ट रॅपर मॉड्यूलमधून IWF Avalon-ST सिंक इंटरफेसकडे जाते आणि IWF Avalon-ST सोर्स इंटरफेस मधून eCPRI Avalon-ST सोर्स/सिंक वर येते. इंटरफेस
CPRI MAC
वापरकर्ता विमान, C&M, आणि REC आणि RE तसेच दोन RE मधील सिंक्रोनाइझेशन माहितीच्या हस्तांतरणासाठी लेयर 1 चा CPRI भाग आणि पूर्ण लेयर 2 प्रोटोकॉल प्रदान करते,
CPRI PHY
सीपीआरआय लेयर 1 प्रोटोकॉलचा उर्वरित भाग लाइन कोडिंग, बिट एरर दुरुस्त करणे/डिटेक्शन आणि इत्यादीसाठी प्रदान करते.

टीप: या डिझाईनमध्ये CPRI MAC आणि CPRI PHY IP इन्स्टंट केले आहेample फक्त एकल CPRI लाइन दर 9.8 Gbps वर चालण्यासाठी कॉन्फिगर केले आहे. डिझाइन माजीample वर्तमान रिलीझमध्ये लाइन रेट ऑटो-निगोशिएशनला समर्थन देत नाही.

चाचणी आवरण
ट्रॅफिक जनरेटर आणि चेकर्सचा समावेश आहे जे खालीलप्रमाणे eCPRI IP च्या Avalon स्ट्रीमिंग (Avalon-ST) इंटरफेससाठी डेटा पॅकेटचे भिन्न संच तयार करतात:

  • एव्हलॉन-एसटी स्त्रोत/सिंक इंटरफेसवर eCPRI पॅकेट (IWF वैशिष्ट्य अक्षम):
    — फक्त संदेश प्रकार २ ला समर्थन देते.
    — वाढीव पॅटर्न मोड जनरेशनसह बॅक-टू-बॅक मोड जनरेशन आणि प्रत्येक पॅकेटसाठी 72 बाइट्सचा पेलोड आकार.
    - सतत नसलेल्या किंवा सतत मोडमध्ये चालविण्यासाठी CSR द्वारे कॉन्फिगर करण्यायोग्य.
    — TX/RX पॅकेट सांख्यिकी स्थिती CSR द्वारे प्रवेश करण्यासाठी उपलब्ध.
  • एव्हलॉन-एसटी स्त्रोत/सिंक इंटरफेसवर eCPRI पॅकेट (IWF वैशिष्ट्य सक्षम):
    - वर्तमान प्रकाशनात केवळ संदेश प्रकार 0 चे समर्थन करते.
    — इंटरपॅकेट गॅप जनरेशनसह वाढीव नमुना मोड जनरेशन आणि प्रत्येक पॅकेटसाठी 240 बाइट्सचा पेलोड आकार.
    - सतत नसलेल्या किंवा सतत मोडमध्ये चालविण्यासाठी CSR द्वारे कॉन्फिगर करण्यायोग्य.
    — TX/RX पॅकेट सांख्यिकी स्थिती CSR द्वारे प्रवेश करण्यासाठी उपलब्ध.
  • प्रेसिजन टाइम प्रोटोकॉल (1588 PTP) पॅकेट आणि PTP नसलेले विविध पॅकेट्स बाह्य स्त्रोत/सिंक इंटरफेससाठी:
    — पूर्व-परिभाषित पॅरामीटर्ससह स्थिर इथरनेट शीर्षलेख निर्मिती: Ethertype0x88F7, संदेश प्रकार- Opcode 0 (सिंक), आणि PTP आवृत्ती-0.
    — प्रत्येक पॅकेटसाठी 2 चक्रांच्या आंतरपॅकेट अंतरासह आणि 57 बाइट्सच्या पेलोड आकारासह पूर्व-परिभाषित नमुना मोड निर्मिती.
    - प्रत्येक एका सेकंदाच्या कालावधीत 128 पॅकेट्स तयार होतात.
    - सतत नसलेल्या किंवा सतत मोडमध्ये चालविण्यासाठी CSR द्वारे कॉन्फिगर करण्यायोग्य.
    — TX/RX पॅकेट सांख्यिकी स्थिती CSR द्वारे प्रवेश करण्यासाठी उपलब्ध.
  • बाह्य नॉन-पीटीपी विविध पॅकेट:
    — पूर्व-परिभाषित पॅरामीटरसह स्टॅटिक इथरनेट हेडर जनरेशन, इथरटाइप- 0x8100 (नॉन-पीटीपी).
    — PRBS पॅटर्न मोड जनरेशन 2 सायकलच्या इंटरपॅकेट गॅपसह आणि प्रत्येक पॅकेटसाठी 128 बाइट्सचा पेलोड आकार.
    - सतत नसलेल्या किंवा सतत मोडमध्ये चालविण्यासाठी CSR द्वारे कॉन्फिगर करण्यायोग्य.
    — TX/RX पॅकेट सांख्यिकी स्थिती CSR द्वारे प्रवेश करण्यासाठी उपलब्ध.

दिवसाची वेळ (TOD) उपप्रणाली
TX आणि RX या दोन्हींसाठी दोन IEEE 1588 TOD मॉड्यूल आणि इंटेल क्वार्टस प्राइम सॉफ्टवेअरद्वारे व्युत्पन्न केलेले एक IEEE 1588 TOD सिंक्रोनायझर मॉड्यूल आहे.
Nios® II उपप्रणाली
Avalon-MM ब्रिजचा समावेश आहे जो Nios II प्रोसेसर, टेस्ट रॅपर आणि Avalon® -MM ॲड्रेस डीकोडर ब्लॉक्स दरम्यान Avalon-MM डेटा लवादाला परवानगी देतो.
चाचणी रॅपरच्या रेट_स्विच रजिस्टर मूल्याच्या आउटपुटवर आधारित डेटा रेट स्विचिंग करण्यासाठी Nios II जबाबदार आहे. टेस्ट रॅपरकडून कमांड मिळाल्यावर हा ब्लॉक आवश्यक रजिस्टरला प्रोग्राम करतो.

नोंद: हा ब्लॉक डिझाईन माजी मध्ये उपस्थित नाहीample Intel Arria 10 आणि Intel Agilex 7 F-tile उपकरणांसाठी व्युत्पन्न केले.
सिस्टम कन्सोल
तुमच्यासाठी प्रथम-स्तरीय डीबगिंग आणि आयपी आणि ट्रॅफिक जनरेटर आणि चेकर्सचे निरीक्षण करण्यासाठी वापरकर्ता-अनुकूल इंटरफेस प्रदान करते.
डेमो कंट्रोल
या मॉड्यूलमध्ये रिसेट सिंक्रोनायझर मॉड्यूल्स आणि डिझाइन सिस्टम डीबगिंग आणि इनिशिएलायझेशन प्रक्रियेसाठी इन-सिस्टम सोर्स आणि प्रोब (ISSP) मॉड्यूल असतात.

संबंधित माहिती

  • 25G इथरनेट इंटेल स्ट्रॅटिक्स 10 FPGA IP वापरकर्ता मार्गदर्शक
  • ई-टाइल हार्ड आयपी वापरकर्ता मार्गदर्शक
  • eCPRI इंटेल FPGA IP वापरकर्ता मार्गदर्शक
  • 25G इथरनेट इंटेल स्ट्रॅटिक्स 10 FPGA IP डिझाइन उदाampवापरकर्ता मार्गदर्शक
  • Intel Stratix 10 Design Ex साठी ई-टाइल हार्ड आयपीamples वापरकर्ता मार्गदर्शक
  • Intel Stratix 10 L- आणि H-Tile Transceiver PHY वापरकर्ता मार्गदर्शक
  • ई-टाइल ट्रान्सीव्हर PHY वापरकर्ता मार्गदर्शक
  • इंटेल स्ट्रॅटिक्स 10 10GBASE-KR PHY IP वापरकर्ता मार्गदर्शक
  • ई-टाइल हार्ड आयपी इंटेल एजिलेक्स डिझाइन उदाampवापरकर्ता मार्गदर्शक

२.४.२. सिम्युलेशन डिझाइन उदाample
eCPRI डिझाइन माजीample एक सिम्युलेशन टेस्टबेंच आणि सिम्युलेशन व्युत्पन्न करते fileजे तुम्ही सिम्युलेशन किंवा सिंथेसिस आणि सिम्युलेशन पर्याय निवडता तेव्हा eCPRI इंटेल FPGA IP कोर इन्स्टंट करते.

आकृती 9. eCPRI इंटेल FPGA IP सिम्युलेशन ब्लॉक डायग्रामeCPRI इंटेल FPGA IP डिझाइन - आकृती 9

टीप: निओस II सबसिस्टम ब्लॉक डिझाईन एक्समध्ये उपस्थित नाहीample Intel Arria 10 आणि Intel Agilex 7 F-tile उपकरणांसाठी व्युत्पन्न केले.
या डिझाइनमध्ये माजीampले, सिम्युलेशन टेस्टबेंच स्टार्टअप आणि लॉक, ट्रान्समिट आणि रिसीव्ह पॅकेट्सची प्रतीक्षा यासारखी मूलभूत कार्यक्षमता प्रदान करते.

यशस्वी चाचणी रन खालील वर्तनाची पुष्टी करणारे आउटपुट प्रदर्शित करते:

  1. क्लायंट लॉजिक आयपी कोर रीसेट करते.
  2. क्लायंट लॉजिक RX डेटापाथ अलाइनमेंटची वाट पाहत आहे.
  3. क्लायंट लॉजिक एव्हलॉन-एसटी इंटरफेसवर पॅकेट प्रसारित करते.
  4. पॅकेटची सामग्री आणि शुद्धता प्राप्त करा आणि तपासा.
  5. "चाचणी उत्तीर्ण" संदेश प्रदर्शित करा.

२.३. इंटरफेस सिग्नल
तक्ता 5. डिझाईन उदाampले इंटरफेस सिग्नल

सिग्नल दिशा वर्णन
clk_ref इनपुट इथरनेट MAC साठी संदर्भ घड्याळ.
• Intel Stratix 10 E-tile, Intel Agilex 7 E-tile आणि F-tile डिझाइनसाठी, E-tile इथरनेट हार्ड IP कोर किंवा F-tile इथरनेट हार्ड IP कोरसाठी 156.25 MHz घड्याळ इनपुट. इथरनेट हार्ड IP मध्ये i_clk_ref[0] शी कनेक्ट करा.
• इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइनसाठी, ट्रान्सीव्हर ATX PLL आणि 322.2625G इथरनेट IP साठी 25 MHz घड्याळ इनपुट. ट्रान्सीव्हर ATX PLL मध्ये pll_refclk0[0] आणि 0G इथरनेट IP मध्ये clk_ref[25] शी कनेक्ट करा.
• Intel Arria 10 डिझाइनसाठी, ट्रान्सीव्हर ATX PLL आणि 322.265625G/ 1GbE आणि 10GBase-KR PHY IP साठी 10 MHz घड्याळ इनपुट. ट्रान्सीव्हर ATX PLL मधील pll_refclk0[0] आणि 10G/ 0GbE आणि 1G BASE-KR PHY IP मध्ये rx_cdr_ref_clk_10g[10] शी कनेक्ट करा.
tod_sync_sampling_clk इनपुट Intel Arria 10 डिझाइनसाठी, TOD उपप्रणालीसाठी 250 MHz घड्याळ इनपुट.
clk100 इनपुट व्यवस्थापन घड्याळ. हे घड्याळ PTP साठी latency_clk जनरेट करण्यासाठी वापरले जाते. 100 MHz वर चालवा.
mgmt_reset_n इनपुट Nios II सिस्टमसाठी सिग्नल रीसेट करा.
tx_serial आउटपुट TX अनुक्रमांक डेटा. 4 चॅनेल पर्यंत समर्थन.
rx_serial इनपुट आरएक्स सीरियल डेटा. 4 चॅनेल पर्यंत समर्थन.
iwf_cpri_ehip_ref_clk इनपुट ई-टाइल CPRI PHY संदर्भ घड्याळ इनपुट. हे घड्याळ फक्त Intel Stratix 10 E-tile आणि Intel मध्ये आहे
Agilex 7 ई-टाइल डिझाइन. 153.6 Gbps CPRI लाइन दरासाठी 9.8 MHz वर ड्राइव्ह करा.
iwf_cpri_pll_refclk0 आउटपुट CPRI TX PLL संदर्भ घड्याळ.
• इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइनसाठी: CPRI डेटा दर 307.2 Gbps साठी 9.8 MHz वर ड्राइव्ह करा.
• Intel Stratix 10 E-tile आणि Intel Agilex 7 E- टाइल डिझाइनसाठी: CPRI डेटा दर 156.25 Gbps साठी 9.8 MHz वर चालवा.
iwf_cpri_xcvr_cdr_refclk आउटपुट CPRI प्राप्तकर्ता CDR संदर्भ घड्याळ. हे घड्याळ फक्त इंटेल स्ट्रॅटिक्स 10 एच-टाइल डिझाइनमध्ये आहे.
307.2 Gbps CPRI लाइन दरासाठी 9.8 MHz वर ड्राइव्ह करा.
iwf_cpri_xcvr_txdataout आउटपुट सीपीआरआय सीरियल डेटा प्रसारित करते. 4 चॅनेल पर्यंत समर्थन.
iwf_cpri_xcvr_rxdatain आउटपुट CPRI प्राप्तकर्ता अनुक्रमांक डेटा. 4 चॅनेल पर्यंत समर्थन.
cpri_gmii_clk इनपुट CPRI GMII 125 MHz इनपुट घड्याळ.

संबंधित माहिती
PHY इंटरफेस सिग्नल
25G इथरनेट इंटेल FPGA IP चे PHY इंटरफेस सिग्नल सूचीबद्ध करते.

2.5. डिझाईन उदाample नोंदणी नकाशा
खाली eCPRI IP कोर डिझाइन एक्स साठी रजिस्टर मॅपिंग आहेampले:
तक्ता 6. eCPRI इंटेल FPGA IP डिझाइन उदाample नोंदणी मॅपिंग

पत्ता  नोंदणी करा
0x20100000 – 0x201FFFFF(2) IOPLL री-कॉन्फिगरेशन रजिस्टर.
0x20200000 - 0x203FFFFF इथरनेट MAC Avalon-MM रजिस्टर
0x20400000 - 0x205FFFFF इथरनेट MAC नेटिव्ह PHY Avalon-MM रजिस्टर
0x20600000 – 0x207FFFFF(2) मूळ PHY RS-FEC Avalon-MM रजिस्टर.
0x40000000 - 0x5FFFFFFF eCPRI IP Avalon-MM रजिस्टर
0x80000000 - 0x9FFFFFFF इथरनेट डिझाइन टेस्ट जनरेटर/व्हेरिफायर एव्हलॉन-एमएम रजिस्टर

तक्ता 7. Nios II रजिस्टर मॅपिंग
खालील तक्त्यातील रजिस्टर्स फक्त डिझाईन एक्समध्ये उपलब्ध आहेतampइंटेल स्ट्रॅटिक्स 10 किंवा इंटेल एजिलेक्स 7 ई-टाइल उपकरणांसाठी व्युत्पन्न केले.

पत्ता  नोंदणी करा
0x00100000 - 0x001FFFFF IOPLL री-कॉन्फिगरेशन रजिस्टर
0x00200000 - 0x003FFFFF इथरनेट MAC Avalon-MM रजिस्टर
0x00400000 - 0x005FFFFF इथरनेट MAC नेटिव्ह PHY Avalon-MM रजिस्टर
0x00600000 - 0x007FFFFF मूळ PHY RS-FEC Avalon-MM रजिस्टर

टीप: तुम्ही इथरनेट MAC आणि इथरनेट MAC नेटिव्ह PHY AVMM नोंदणींमध्ये बाइट ऑफसेटऐवजी ऑफसेट शब्द वापरून प्रवेश करू शकता.
इथरनेट MAC, इथरनेट MAC नेटिव्ह PHY, आणि eCPRI IP कोर रजिस्टर नकाशांवरील तपशीलवार माहितीसाठी, संबंधित वापरकर्ता मार्गदर्शकांचा संदर्भ घ्या.

(२) फक्त डिझाईनमध्ये उपलब्धampइंटेल स्ट्रॅटिक्स 10 आणि इंटेल एजिलेक्स 7 ई-टाइल उपकरणांसाठी व्युत्पन्न केले.

तक्ता 8. eCPRI इंटेल FPGA IP हार्डवेअर डिझाइन उदाample नोंदणी नकाशा

शब्द ऑफसेट  नोंदणी प्रकार  डीफॉल्ट मूल्य  प्रवेश प्रकार
0x0 डेटा पाठवणे सुरू करा:
• बिट 1: PTP, PTP नसलेला प्रकार
• बिट 0: eCPRI प्रकार
0x0 RW
0x1 सतत पॅकेट सक्षम करा 0x0 RW
0x2 त्रुटी साफ करा 0x0 RW
0x3 (3) रेट स्विच:
• बिट [७]- टाइल दर्शवते:
— 1'b0: H-टाइल
— 1'b1: ई-टाइल
• बिट [६:४]- इथरनेट डेटा रेट स्विचिंग सूचित करते:
— 3'b000: 25G ते 10G
— 3'b001: 10G ते 25G
• बिट [0]- स्विच दर सक्षम करा. दर स्विचिंगसाठी बिट 0 स्पष्ट होईपर्यंत हा बिट 0 सेट करणे आणि मतदान करणे आवश्यक आहे.
टीप: हे रजिस्टर Intel Agilex 7 F-tile आणि Intel Arria 10 डिझाइनसाठी उपलब्ध नाही.
• ई-टाइल: 0x80
• H-टाइल: 0x0
RW
0x4 (3) रेट स्विच पूर्ण झाले:
• बिट [१] रेट स्विचिंग पूर्ण झाल्याचे सूचित करते.
0x0 RO
0x5 (4) सिस्टम कॉन्फिगरेशन स्थिती:
• बिट [३१]: सिस्टम तयार
• बिट [३०]: IWF_EN
• बिट [२९]: STARTUP_SEQ_EN
• बिट [२८:४]: राखीव
• बिट [३]: EXT_PACKET_EN
• बिट [२८:४]: राखीव
0x0 RO
0x6 (4) CPRI वाटाघाटी पूर्ण:
• बिट [३:०]: बिट दर पूर्ण
• बिट [१९:१६]: प्रोटोकॉल पूर्ण
0x0 RW
0x7 (4) CPRI वाटाघाटी पूर्ण:
• बिट [३:०]: जलद C&M पूर्ण
• बिट [१९:१६]: जलद VSS पूर्ण
0x0 RW
0x8 - 0x1F राखीव.
0x20 eCPRI त्रुटी व्यत्यय:
• बिट [0] व्यत्यय सूचित करतो.
0x0 RO
0x21 बाह्य पॅकेट त्रुटी 0x0 RO
0x22 बाह्य PTP पॅकेट TX स्टार्ट ऑफ पॅकेट (SOP) काउंट 0x0 RO
0x23 बाह्य PTP पॅकेट TX पॅकेट समाप्ती (EOP) गणना 0x0 RO
0x24 बाह्य संकीर्ण पॅकेट TX SOP संख्या 0x0 RO
0x25 बाह्य संकीर्ण पॅकेट TX EOP संख्या 0x0 RO
0x26 बाह्य RX पॅकेट SOP संख्या 0x0 RO
0x27 बाह्य RX पॅकेट्स EOP संख्या 0x0 RO
0x28 बाह्य पॅकेट त्रुटी संख्या 0x0 RO
0x29 - 0x2C राखीव.
0x2D बाह्य PTP टाइमस्टamp फिंगरप्रिंट त्रुटी गणना 0x0 RO
0x2E बाह्य PTP टाइमस्टamp फिंगरप्रिंट एरर 0x0 RO
0x2F बाह्य Rx त्रुटी स्थिती 0x0 RO
0x30 - 0x47 राखीव.
0x48 eCPRI पॅकेट त्रुटी RO
0x49 eCPRI TX SOP संख्या RO
0x4A eCPRI TX EOP संख्या RO
0x4B eCPRI RX SOP संख्या RO
0x4 सी eCPRI RX EOP संख्या RO
0x4D eCPRI पॅकेट त्रुटी संख्या RO

संबंधित माहिती

  • नियंत्रण, स्थिती आणि सांख्यिकी नोंदणी वर्णन
    25G इथरनेट स्ट्रॅटिक्स 10 FPGA IP साठी माहिती नोंदवा
  • पुनर्रचना आणि स्थिती नोंदणी
    वर्णन इथरनेटसाठी ई-टाइल हार्ड आयपीसाठी माहिती नोंदवा
  • नोंदणी करतो
    eCPRI Intel FPGA IP साठी माहितीची नोंदणी करा

eCPRI इंटेल FPGA IP डिझाइन उदाample वापरकर्ता मार्गदर्शक संग्रहण

या वापरकर्ता मार्गदर्शकाच्या नवीनतम आणि मागील आवृत्त्यांसाठी, eCPRI Intel FPGA IP Design Ex चा संदर्भ घ्याample वापरकर्ता मार्गदर्शक HTML आवृत्ती. आवृत्ती निवडा आणि डाउनलोड वर क्लिक करा. IP किंवा सॉफ्टवेअर आवृत्ती सूचीबद्ध नसल्यास, मागील IP किंवा सॉफ्टवेअर आवृत्तीसाठी वापरकर्ता मार्गदर्शक लागू होतो.

eCPRI Intel FPGA IP Design Ex. साठी दस्तऐवज पुनरावृत्ती इतिहासampवापरकर्ता मार्गदर्शक

दस्तऐवज आवृत्ती इंटेल क्वार्टस
प्राइम आवृत्ती
आयपी आवृत्ती बदल
2023.05.19 23.1 2.0.3 • सिम्युलेटिंग द डिझाईन अपडेट केले उदाampक्विक स्टार्ट गाईड धड्यातील टेस्टबेंच विभाग.
• उत्पादनाचे कुटुंब नाव “Intel Agilex 7” वर अपडेट केले.
2022.11.15 22.3 2.0.1 विभागातील व्हीसीएस सिम्युलेटरसाठी अद्यतनित सूचना: डिझाइनचे अनुकरण करणे उदाampले टेस्टबेंच.
2022.07.01 22.1 1.4.1 • हार्डवेअर डिझाइन जोडलेampइंटेल एजिलेक्स 7 एफ-टाइल उपकरण भिन्नतेसाठी समर्थन.
• खालील डेव्हलपमेंट किटसाठी समर्थन जोडले:
— Intel Agilex 7 I-Series FPGA डेव्हलपमेंट किट
— Intel Agilex 7 I-Series Transceiver-SoC डेव्हलपमेंट किट
• QuestaSim सिम्युलेटरसाठी समर्थन जोडले.
• ModelSim*SE सिम्युलेटरसाठी समर्थन काढून टाकले.
2021.10.01 21.2 1.3.1 • Intel Agilex 7 F-tile उपकरणांसाठी समर्थन जोडले.
• मल्टी-चॅनल डिझाइनसाठी जोडलेले समर्थन.
• अद्ययावत सारणी: eCPRI इंटेल FPGA IP हार्डवेअर डिझाइन उदाample नोंदणी नकाशा.
• NCSim सिम्युलेटरसाठी समर्थन काढून टाकले.
2021.02.26 20.4 1.3.0 • Intel Agilex 7 E-tile उपकरणांसाठी समर्थन जोडले.
2021.01.08 20.3 1.2.0 • eCPRI Intel Stratix 10 FPGA IP Design Ex वरून दस्तऐवज शीर्षक बदललेample वापरकर्ता मार्गदर्शक
eCPRI इंटेल FPGA IP डिझाइन उदाampवापरकर्ता मार्गदर्शक.
• Intel Arria 10 डिझाइन्ससाठी समर्थन जोडले.
• eCPRI IP डिझाइन माजीample आता इंटरवर्किंग फंक्शन (IWF) वैशिष्ट्य समर्थनासह उपलब्ध आहे.
• स्पष्ट करण्यासाठी एक टीप जोडली आहे की eCPRI डिझाइन माजीample IWF वैशिष्ट्यासह केवळ 9.8 Gbps CPRI साठी उपलब्ध आहे
लाइन बिट दर.
• डिझाईन व्युत्पन्न करताना डिझाईन तयार करणे या विभागात जोडलेल्या अटीampसह
इंटरवर्किंग फंक्शन (IWF) सपोर्ट पॅरामीटर सक्षम केले.
• जोडले sampले सिम्युलेशन टेस्ट रन आउटपुट IWF वैशिष्ट्यासह डिझाइन सिम्युलेटिंग विभागात सक्षम केले आहे
Exampले टेस्टबेंच.
• इथरनेट IP वर डायनॅमिक रीकॉन्फिगरेशन सक्षम करणारा नवीन विभाग जोडला.
• अद्यतनित हार्डवेअर चाचणी एसampविभागातील आउटपुट
ईसीपीआरआय इंटेल एफपीजीए आयपी डिझाइनची चाचणी करत आहेampले
2020.06.15 20.1 1.1.0 • 10G डेटा दरासाठी समर्थन जोडले.
• प्रवाह.सी file आता डिझाईन एक्स सह उपलब्ध आहेample जनरेशन लूपबॅक मोड निवडण्यासाठी.
• s सुधारितampसिम्युलेशन चाचणीसाठी le आउटपुट विभागात सिम्युलेटिंग द डिझाइन एक्सampले टेस्टबेंच.
• संकलित आणि कॉन्फिगरिंग विभागात 10G डेटा रेट डिझाइन चालविण्यासाठी वारंवारता मूल्य जोडले
डिझाईन माजीampहार्डवेअर मध्ये le.
• eCPRI इंटेल FPGA IP डिझाईन उदा चाचणीत विभागामध्ये खालील बदल केलेampले:
— 10G आणि 25G दरम्यान डेटा दर स्विच करण्यासाठी आदेश जोडले
— जोडले sampडेटा दर स्विचिंगसाठी le आउटपुट
— ई-टाइल उपकरण भिन्नतांमध्ये लूपबॅक निवडण्यासाठी TEST_MODE व्हेरिएबल माहिती जोडली.
• सुधारित eCPRI इंटेल FPGA IP हार्डवेअर डिझाइन उदाampनवीन समाविष्ट करण्यासाठी उच्च स्तरीय ब्लॉक आकृती
ब्लॉक
• अद्ययावत सारणी: डिझाइन उदाample इंटरफेस सिग्नल नवीन सिग्नल समाविष्ट करण्यासाठी.
• अद्यतनित डिझाइन उदाample नोंदणी नकाशा विभाग.
• नवीन परिशिष्ट विभाग जोडला: एक्झिक्युटेबल आणि लिंकिंग फॉरमॅट (.elf) प्रोग्रामिंग तयार करणे आणि डाउनलोड करणे File .
2020.04.13 19.4 1.1.0 प्रारंभिक प्रकाशन.

A. एक्झिक्युटेबल आणि लिंकिंग फॉरमॅट (.elf) प्रोग्रामिंग तयार करणे आणि डाउनलोड करणे File

हा विभाग .elf कसे तयार आणि डाउनलोड करायचे याचे वर्णन करतो file मंडळाकडे:

  1. निर्देशिका मध्ये बदलाample_dir>/synthesis/quatus.
  2. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरमध्ये ओपन प्रोजेक्टवर क्लिक करा आणि उघडाample_dir>/synthesis/quartus/epri_ed.qpf. आता Tools ➤ Nios II Software Build Tools for Eclipse निवडा.
    आकृती 10. ग्रहणासाठी Nios II सॉफ्टवेअर बिल्ड टूल्सeCPRI इंटेल FPGA IP डिझाइन - आकृती 10
  3. वर्कस्पेस लाँचर विंडो प्रॉम्प्ट दिसेल. वर्कस्पेसमध्ये मार्ग याप्रमाणे निर्दिष्ट कराample_dir>/synthesis/quatus तुमचा Eclipse प्रोजेक्ट साठवण्यासाठी. नवीन Nios II – Eclipse विंडो दिसेल.
    आकृती 11. वर्कस्पेस लाँचर विंडोeCPRI इंटेल FPGA IP डिझाइन - आकृती 11
  4. Nios II – Eclipse विंडोमध्ये, Project Explorer टॅब अंतर्गत राइट-क्लिक करा आणि नवीन ➤ Nios II बोर्ड सपोर्ट पॅकेज निवडा. नवीन विंडो दिसेल.
    आकृती 12. प्रोजेक्ट एक्सप्लोरर टॅबeCPRI इंटेल FPGA IP डिझाइन - आकृती 12
  5. Nios II बोर्ड सपोर्ट पॅकेज विंडोमध्ये:
    • प्रकल्प नाव पॅरामीटरमध्ये, तुमचे इच्छित प्रकल्प नाव निर्दिष्ट करा.
    • SOPC माहितीमध्ये File नाव पॅरामीटर, च्या स्थानावर ब्राउझ कराample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. समाप्त क्लिक करा.
    आकृती 13. Nios II बोर्ड सपोर्ट पॅकेज विंडोeCPRI इंटेल FPGA IP डिझाइन - आकृती 13
  6. नवीन तयार केलेला प्रकल्प Nios II Eclipse विंडोमध्ये Project Explorer टॅबखाली दिसतो. प्रोजेक्ट एक्सप्लोरर टॅब अंतर्गत उजवे-क्लिक करा आणि Nios II ➤ Nios II कमांड शेल निवडा.
    आकृती 14. प्रोजेक्ट एक्सप्लोरर- Nios II कमांड शेलeCPRI इंटेल FPGA IP डिझाइन - आकृती 14
  7. Nios II कमांड शेलमध्ये, खालील तीन कमांड टाईप करा: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir ॲप –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .एल्फ file खालील ठिकाणी व्युत्पन्न केले आहे:ample_dir>/ synthesis/ip_components/software/ /अॅप.
  9. बोर्डवर .elf डाउनलोड करण्यासाठी Nios II कमांड शेलमध्ये खालील आदेश टाइप करा:
    • Intel Stratix 10 साठी: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7 साठी: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

इंटेल लोगोeCPRI इंटेल FPGA IP डिझाइन - चिन्ह ऑनलाइन आवृत्ती
eCPRI इंटेल एफपीजीए आयपी डिझाइन - चिन्ह 1 अभिप्राय पाठवा
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP डिझाइन उदाampवापरकर्ता मार्गदर्शक

कागदपत्रे / संसाधने

इंटेल ईसीपीआरआय इंटेल एफपीजीए आयपी डिझाइन [pdf] वापरकर्ता मार्गदर्शक
eCPRI इंटेल एफपीजीए आयपी डिझाइन, ईसीपीआरआय, इंटेल एफपीजीए आयपी डिझाइन, एफपीजीए आयपी डिझाइन, आयपी डिझाइन, डिझाइन

संदर्भ

एक टिप्पणी द्या

तुमचा ईमेल पत्ता प्रकाशित केला जाणार नाही. आवश्यक फील्ड चिन्हांकित आहेत *