intel-lgoo

इंटेल 22.4 क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर

इंटेल-22-4-क्वार्टस-प्राइम-प्रो-एडीशन-सॉफ्टवेअर-उत्पादन

उत्पादन माहिती

मजकूर अर्क इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 च्या वापरकर्ता मॅन्युअलमधून आहे. सॉफ्टवेअर रिलीझ नोट्स नवीन वैशिष्ट्ये, दोष निराकरणे, सॉफ्टवेअर वर्तनातील बदल आणि नापसंत वैशिष्ट्ये आणि कार्ये याबद्दल माहिती प्रदान करतात. सॉफ्टवेअर आवृत्तीमध्ये कार्यात्मक आणि सुरक्षा अद्यतने समाविष्ट आहेत आणि इंस्टॉलेशनची सुरक्षा सुधारण्यासाठी सॉफ्टवेअर अद्ययावत ठेवण्याची शिफारस केली जाते.

उत्पादन वापर सूचना

इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 स्थापित आणि वापरण्यासाठी, या चरणांचे अनुसरण करा:

  1. इंटेल क्वार्टस प्राइम प्रो एडिशन README चा संदर्भ घ्या file खालील ठिकाणी: /quartus/readme.txt सॉफ्टवेअर रिलीझबद्दल अतिरिक्त माहितीसाठी.
  2. खालील संदर्भ घ्या web ऑपरेटिंग सिस्टम समर्थनाबद्दल माहितीसाठी पृष्ठ: Intel FPGA ऑपरेटिंग सिस्टम समर्थन.
  3. सॉफ्टवेअर डाउनलोड आणि स्थापित करा.
  4. ला view इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या नवीनतम आवृत्तीसाठी डीफॉल्ट असाइनमेंट सेटिंग्ज, इंटेल क्वार्टस प्राइम डीफॉल्ट सेटिंग्ज पहा File (.qdf), /quartus/bin/assignment_defaults.qdf येथे स्थित आहे.
  5. तुमच्याकडे ग्राहक सेवा विनंत्या असल्यास, पुन्हाview या आवृत्तीमध्ये तुमच्या कोणत्याही विनंत्यांसाठी निराकरणे आहेत किंवा अन्यथा निराकरण करते हे पाहण्यासाठी पृष्ठ 17 वर सोडवलेले सॉफ्टवेअर समस्या आणि पृष्ठ 18 वरील या प्रकाशनात समाविष्ट केलेले सॉफ्टवेअर पॅचेस.
  6. तुमच्याकडे OpenCL FPGA डिझाईन्स असल्यास, त्यांना पुन्हा SYCL* मध्ये स्थलांतरित कराviewओपनसीएल एफपीजीए डिझाईन्सचे एसवायसीएलमध्ये स्थलांतर करणे* मार्गदर्शक जे एफपीजीएसाठी ओपनसीएल आणि एसवायसीएलमधील महत्त्वाचे फरक दाखवते आणि तुमच्या ओपनसीएल डिझाइन्सचे स्थलांतर करण्यासाठी पायऱ्या प्रदान करते. Intel oneAPI बेस टूलकिट हे OpenCL सॉफ्टवेअर उत्पादनासाठी बंद केलेल्या Intel FPGA SDK चा पर्याय आहे.

Intel® Quartus® प्राइम प्रो एडिशन आवृत्ती 22.4 सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीझ नोट्स

  • हा दस्तऐवज Intel® Quartus® प्राइम प्रो एडिशन आवृत्ती 22.4 बद्दल उशीरा-ब्रेकिंग माहिती प्रदान करतो.
  • या सॉफ्टवेअर प्रकाशनाबद्दल अतिरिक्त माहितीसाठी, Intel Quartus Prime Pro Edition README पहा file खालील ठिकाणी: /quartus/readme.txt
  • ऑपरेटिंग सिस्टम समर्थनाबद्दल माहितीसाठी, खालील पहा web पृष्ठ: इंटेल FPGA ऑपरेटिंग सिस्टम समर्थन.

संबंधित माहिती

  • इंटेल क्वार्टस प्राइम स्टँडर्ड एडिशन सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीझ नोट्स
  • लिनक्ससाठी इंटेल क्वार्टस प्राइम प्रो एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम प्रो एडिशन डिझाइन सॉफ्टवेअर
  • इंटेल एफपीजीए सॉफ्टवेअर इन्स्टॉलेशन आणि लायसन्सिंग

नवीन वैशिष्ट्ये आणि सुधारणा

इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 मध्ये कार्यात्मक आणि सुरक्षा अद्यतने समाविष्ट आहेत. तुमचे सॉफ्टवेअर अद्ययावत ठेवा आणि तुमच्या इंटेल क्वार्टस प्राइम इंस्टॉलेशनची सुरक्षा सुधारण्यात मदत करणाऱ्या तांत्रिक शिफारसींचे अनुसरण करा.
इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 मध्ये खालील नवीन वैशिष्ट्ये आणि सुधारणा समाविष्ट आहेत:

  • नवीन Intel Agilex™ उपकरणांसाठी समर्थन जोडले. तपशिलांसाठी, पृष्ठ 12 वरील डिव्हाइस सपोर्टमधील बदल पहा.
  • नवीन डिझाइन जोडले माजीample शोध वैशिष्ट्य जे FPGA डिझाईन माजी मध्ये प्रवेशाचा एकच बिंदू प्रदान करतेampइंटेल क्वार्टस प्राइम आणि प्लॅटफॉर्म डिझायनर अंतर्गत. डिझाइन माजीamples विविध ऑफलाइन आणि ऑनलाइन स्त्रोतांकडून येतात, ज्यात माजीampतुमच्या इंटेल क्वार्टस प्राइम प्रो एडिशन इंस्टॉलेशनचा भाग म्हणून प्रदान केले आहे आणि माजीampFPGA डिझाइन स्टोअरमध्ये उपलब्ध आहे.
  • प्लॅटफॉर्म डिझायनरमध्ये नवीन बोर्ड जागरूकता वैशिष्ट्य जोडले जे इंटेल आणि तृतीय-पक्ष FPGA बोर्डांना लक्ष्यित करताना जलद डिझाइन तयार करण्यास सक्षम करते. बोर्ड जागरूकता वैशिष्ट्य पूर्व-कॉन्फिगर केलेले IP आणि बोर्ड सेटिंग्ज प्रदान करते जेणेकरुन तुमची रचना लवकर सुरू करण्यात मदत होईल.

इंटेल कॉर्पोरेशन. सर्व हक्क राखीव. इंटेल, इंटेल लोगो आणि इतर इंटेल चिन्ह हे इंटेल कॉर्पोरेशन किंवा त्याच्या उपकंपन्यांचे ट्रेडमार्क आहेत. इंटेल त्याच्या FPGA आणि सेमीकंडक्टर उत्पादनांच्या कार्यप्रदर्शनास इंटेलच्या मानक वॉरंटीनुसार वर्तमान वैशिष्ट्यांनुसार वॉरंटी देते, परंतु कोणत्याही वेळी कोणतीही सूचना न देता कोणतीही उत्पादने आणि सेवांमध्ये बदल करण्याचा अधिकार राखून ठेवते. इंटेलने लिखित स्वरूपात स्पष्टपणे मान्य केल्याशिवाय येथे वर्णन केलेल्या कोणत्याही माहिती, उत्पादन किंवा सेवेच्या अर्जामुळे किंवा वापरामुळे उद्भवणारी कोणतीही जबाबदारी किंवा दायित्व स्वीकारत नाही. इंटेल ग्राहकांना कोणत्याही प्रकाशित माहितीवर विसंबून राहण्यापूर्वी आणि उत्पादने किंवा सेवांसाठी ऑर्डर देण्यापूर्वी डिव्हाइस वैशिष्ट्यांची नवीनतम आवृत्ती प्राप्त करण्याचा सल्ला दिला जातो.
*इतर नावे आणि ब्रँडवर इतरांची मालमत्ता म्हणून दावा केला जाऊ शकतो.

  • RTL विश्लेषक खालीलप्रमाणे वर्धित केले:
    • फिल्टरिंग पर्याय जोडले जेणेकरून तुम्ही तुमची नेटलिस्ट फिल्टर करू शकता आणि view फक्त एक निर्दिष्ट तर्क मार्ग.
    • जोडले विस्तारित कनेक्शन पर्याय जेणेकरून तुम्ही निवडलेला पिन विस्तृत करू शकता आणि पुढील कनेक्ट केलेला नोड उघड करू शकता.
    • तुमची शेवटची जतन आणि पुनर्संचयित करण्याची क्षमता जोडली view जेव्हा तुम्ही RTL विश्लेषक पुन्हा लाँच करता. तुमचा RTL बदलणे आणि प्रकल्प पुन्हा कंपाइल केल्याने तुमचे सेव्ह केलेले अवैध ठरते view.
  • खालीलप्रमाणे पॉवर आणि थर्मल कॅल्क्युलेटर (PTC) वर्धित केले:
    • IP द्वारे वापरल्या जाणार्‍या संसाधनासह PTC तयार करण्यात मदत करण्यासाठी IP विझार्डसाठी बीटा समर्थन जोडले. हा विझार्ड PTC च्या I/O-IP पृष्ठाची जागा घेतो.
    • क्वार्टस पॉवर विश्लेषक वरून डिझाइन पदानुक्रम आयात करण्यासाठी समर्थन जोडले.
  • खालीलप्रमाणे वर्धित Nios V समर्थन:
    • इंटेल FPGA साठी 2022 Q4 रिलीझसाठी Ashling* RiscFree* IDE अपडेट केले.
    • Nios V माजी वर्धितampमाजी स्थलांतर करून le डिझाइन प्रवाहampइंटेल एफपीजीए डिझाईन स्टोअरमध्ये ले डिझाइन्स.
    • Nios V/m साठी Zephyr RTOS सक्षम केले.
  • F-tile-आधारित IP साठी, Aldec* Active-HDL* आणि Aldec Riviera-PRO* सिम्युलेशन साधनांसाठी सिम्युलेशन सपोर्ट जोडला.
  • वर्कस्पेस लेआउट सानुकूलित करण्याच्या क्षमतेसह वर्धित सिस्टम कन्सोल GUI आणि तुमची सानुकूलने जतन करा.
  • एफ-टाइल डिझाइनसाठी वापरकर्ता-परिभाषित डायनॅमिक रीकॉन्फिगरेशन (DR) ग्रुप स्पेसिफिकेशनसाठी टाइल असाइनमेंट एडिटर जोडले.

दोष निराकरणे
इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 मध्ये दोष निराकरणे देखील समाविष्ट आहेत. रेview सॉफ्टवेअर समस्यांचे निराकरण पृष्ठ 17 वर केले आहे आणि सॉफ्टवेअर पॅचेस पृष्ठ 18 वरील या प्रकाशनामध्ये समाविष्ट आहेत की या आवृत्तीमध्ये तुमच्या ग्राहक सेवा विनंत्यांपैकी कोणत्याही निराकरणे आहेत किंवा अन्यथा निराकरण करते.

सॉफ्टवेअर वर्तनात बदल
या विभागात इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरचे वर्तन आणि डीफॉल्ट सेटिंग्ज इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरच्या आधीच्या रिलीझमधून बदलल्या गेलेल्या घटनांचे दस्तऐवज आहेत.
इंटेल क्वार्टस प्राइम प्रो एडिशनमध्ये खालील बदल आहेत:

  • काही Intel Agilex F-Series साठी -4F स्पीड ग्रेडसह टाइमिंग मॉडेल अपडेट केले.
    ही उपकरणे 0° C वर चालतात तेव्हा आढळलेल्या विसंगतीसाठी अपडेट केलेले टायमिंग मॉडेल दुरुस्त करते.
    खालील Intel Agilex F-Series डिव्हाइसेस या अपडेट केलेल्या टाइमिंग मॉडेलमुळे प्रभावित होतात:
    • AGFA014R24, AGFA019R25, AGFA022R25, AGFA023R25, AGFA027R25
    • AGFB014R24, AFGB019R25, AGFB022R25, AGFB023R25, AGFB027R25
    • AGFC019R25, AGFC023R25
    • AGFD019R25, AGFD023R25

या टाइमिंग मॉडेल अपडेटबद्दल अधिक माहितीसाठी, "इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 4 आणि त्यापूर्वीच्या इंटेल एजिलेक्स एफ-सीरीज -22.3F डिव्हाइसेस वापरताना कार्यात्मक अपयश का आहेत?" पहा. इंटेल एफपीजीए नॉलेज बेसमध्ये.
इंटेल क्वार्टस प्राइम डीफॉल्ट सेटिंग्जचा संदर्भ घ्या File (.qdf), /quartus/bin/assignment_defaults.qdf, इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या नवीनतम आवृत्तीसाठी सर्व डीफॉल्ट असाइनमेंट सेटिंग्जच्या सूचीसाठी.

नापसंत वैशिष्ट्ये आणि कार्ये
या विभागात सूचीबद्ध केलेली कार्ये आणि वैशिष्ट्ये नापसंत केली गेली आहेत परंतु इंटेल क्वार्टस प्राइम प्रो संस्करण 22.4 किंवा त्यापूर्वीच्या आवृत्तीमधून काढली गेली नाहीत. बहिष्कृत वैशिष्ट्ये आणि कार्ये काढून टाकण्यापूर्वी बदली किंवा पर्यायी वैशिष्ट्ये आणि कार्ये वापरण्यासाठी तुमची साधने आणि प्रक्रिया स्थलांतरित करा. इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 नुसार नापसंत वैशिष्ट्ये आणि कार्ये Intel Quartus Prime Pro Edition आवृत्ती 22.4 मध्ये कोणतीही Intel Quartus Prime वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत. Intel Quartus Prime Pro Edition Version 22.3 नुसार नापसंत वैशिष्ट्ये आणि कार्ये OpenCL™ सॉफ्टवेअर उत्पादनासाठी Intel FPGA SDK नापसंत केले आहे. Intel OpenCL सॉफ्टवेअर उत्पादनासाठी Intel FPGA SDK बंद करत आहे. उत्पादन बंद करण्याच्या सूचना PDN2219 चा संदर्भ घ्या.
पर्याय म्हणून, इंटेल वनएपीआय बेस टूलकिट वापरा, जे विविध आर्किटेक्चरमध्ये उच्च-कार्यक्षमता, डेटा-केंद्रित अनुप्रयोग विकसित करण्यासाठी मुख्य साधने आणि लायब्ररी प्रदान करते. यात एक उद्योग-अग्रणी C++ कंपाइलर आहे जो SYCL* लागू करतो, विषम संगणनासाठी C++ ची उत्क्रांती. अधिक माहितीसाठी, Intel oneAPI बेस टूलकिट पहा web पृष्ठ तुमचे OpenCL FPGA डिझाइन SYCL* मध्ये स्थलांतरित करण्यासाठी, पुन्हाview OpenCL FPGA डिझाईन्स SYCL* मध्ये स्थलांतरित करणे जे FPGA साठी OpenCL आणि SYCL मधील महत्त्वाचे फरक दाखवते आणि तुमच्या OpenCL डिझाईन्सचे स्थलांतर करण्यासाठी पायऱ्या पुरवते.

  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.2 नुसार वैशिष्ट्ये आणि कार्ये नापसंत
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.2 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 नुसार वैशिष्ट्ये आणि कार्ये नापसंत
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 मध्ये कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये नापसंत केलेली नाहीत.

वैशिष्ट्ये आणि कार्ये काढली

  • या विभागात सूचीबद्ध केलेली कार्ये आणि वैशिष्ट्ये इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 किंवा त्यापूर्वीच्या वरून काढून टाकण्यात आली आहेत.
  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.4 मधून वैशिष्ट्ये आणि कार्ये काढून टाकली
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढून टाकण्यात आलेली नाहीत.
  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.3 मधून वैशिष्ट्ये आणि कार्ये काढून टाकली
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.3 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढून टाकण्यात आलेली नाहीत.
  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.2 मधून वैशिष्ट्ये आणि कार्ये काढून टाकली
  • इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.2 मधून कोणतीही इंटेल क्वार्टस प्राइम वैशिष्ट्ये किंवा कार्ये काढून टाकण्यात आलेली नाहीत.
  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.1 मधून वैशिष्ट्ये आणि कार्ये काढून टाकली

खालील ऑपरेटिंग सिस्टमसाठी समर्थन काढून टाकले:

  • CentOS* 7.5
  • Red Hat* Enterprise Linux* 7
  • विंडोज सर्व्हर* 2012
  • Siemens* EDA ModelSim* SE साठी समर्थन काढून टाकले.
    त्याऐवजी Siemens EDA Questa* प्रगत सिम्युलेटर वापरा.

इंटेल एफपीजीए आयपी रीजनरेशन
खालील Intel FPGA IPs मध्ये प्रमुख आवृत्ती अद्यतने आहेत आणि Intel Quartus Prime Pro Edition आवृत्ती 22.4 मध्ये पुन्हा निर्माण करणे आवश्यक आहे:

  • इथरनेट इंटेल एफपीजीए आयपीसाठी ई-टाइल हार्ड आयपी
  • Intel Agilex FPGA साठी ई-टाइल इथरनेट आयपी
  • लो लेटेंसी इथरनेट 10G MAC इंटेल FPGA IP
  • 1G/2.5G/5G/10G मल्टीरेट इथरनेट PHY Intel FPGA IP
  • इंटरलेकन (दुसरी पिढी) इंटेल एफपीजीए आयपी
  • ई-टाइल CPRI PHY इंटेल FPGA IP
  • PCI एक्सप्रेससाठी P-Tile Avalon स्ट्रीमिंग इंटेल FPGA IP
  • इथरनेट इंटेल एफपीजीए आयपीसाठी एफ-टाइल ऑटो-निगोशिएशन आणि लिंक ट्रेनिंग
  • एफ-टाइल इथरनेट इंटेल एफपीजीए हार्ड आयपी
  • पीसीआय एक्सप्रेससाठी आर-टाइल एव्हलॉन स्ट्रीमिंग इंटेल एफपीजीए आयपी
  • PCI एक्सप्रेससाठी F-Tile Avalon स्ट्रीमिंग इंटेल FPGA IP
  • F-Tile Serial Lite IV Intel FPGA IP
  • एफ-टाइल इंटरलेकन इंटेल एफपीजीए आयपी
  • एफ-टाइल इथरनेट मल्टीरेट इंटेल एफपीजीए आयपी
  • 5G LDPC-V इंटेल FPGA IP
  • PCI एक्सप्रेससाठी F-Tile Multichannel DMA Intel FPGA IP
  • PCI एक्सप्रेस साठी P-Tile मल्टीचॅनल DMA Intel FPGA IP
  • सिरीयल लाइट IV इंटेल FPGA IP
  • बाह्य मेमरी इंटरफेस (EMIF) IP
  • JESD204C इंटेल FPGA IP

ऑपरेटिंग सिस्टम समर्थन

इंटेल क्वार्टस प्राइम डिझाईन सूटसाठी ऑपरेटिंग सिस्टम सपोर्टबद्दल माहिती इंटेल FPGA च्या ऑपरेटिंग सिस्टम सपोर्ट पृष्ठावर उपलब्ध आहे. webसाइट

मायक्रोसॉफ्ट* विंडोज* आवश्यकता
काही मायक्रोसॉफ्ट* विंडोज* ऑपरेटिंग सिस्टमसाठी, इंटेल क्वार्टस प्राइम डिझाईन सूटला खालीलप्रमाणे ऑपरेटिंग सिस्टम किंवा इतर सेटिंग्जची विशिष्ट पातळी आवश्यक आहे:

तक्ता 1. मायक्रोसॉफ्ट विंडोज आवश्यकता

ऑपरेटिंग सिस्टम आवश्यक आवृत्ती पातळी किंवा इतर आवश्यकता
विंडोज १० Windows 10 आवृत्ती 1607 किंवा नंतरची.

Windows 10 आवृत्ती 1809 किंवा नंतरची शिफारस केली जाते.

विंडोज १० N/A
विंडोज सर्व्हर* 2016 N/A
विंडोज सर्व्हर 2019 N/A

इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये कोणतेही ऑपरेटिंग सिस्टम समर्थन बदल नाहीत.
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.3 मध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.3 नुसार खालील ऑपरेटिंग सिस्टमसाठी समर्थन जोडले आहे:

  • Red Hat Enterprise Linux* 8.4
  • Red Hat Enterprise Linux 8.6
  • उबंटू* लिनक्स 22.04 LTS
  • विंडोज १०

इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.3 नुसार खालील ऑपरेटिंग सिस्टीमसाठी समर्थन नापसंत केले आहे:

  • विंडोज सर्व्हर 2016
  • विंडोज 10 आवृत्ती 1607

Windows 10 ची नंतरची आवृत्ती समर्थित राहील. तुमचे Windows 10 इंस्टॉलेशन Windows 10 आवृत्ती 1809 किंवा नंतरच्या आवृत्तीवर स्थलांतरित करा.
या कार्यप्रणालीसाठी समर्थन भविष्यातील प्रकाशनात काढून टाकले जाऊ शकते.
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.3 नुसार खालील ऑपरेटिंग सिस्टम्ससाठी समर्थन काढून टाकले आहे:

  • CentOS Linux 8.2
  • Red Hat Enterprise Linux 8.2

इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.2 मध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.2 मध्ये कोणतेही ऑपरेटिंग सिस्टम समर्थन बदल नाहीत.
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 मध्ये ऑपरेटिंग सिस्टम सपोर्ट बदल
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 नुसार खालील ऑपरेटिंग सिस्टम्ससाठी समर्थन काढून टाकले आहे:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat Enterprise Linux 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)
  • विंडोज सर्व्हर 2012
  1. सेंटोस लिनक्स 8.2 इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 द्वारे समर्थित आहे
  2. Red Hat* Enterprise Linux 8.2 इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.1 द्वारे समर्थित आहे

संबंधित माहिती
ऑपरेटिंग सिस्टम समर्थन

डिस्क स्पेस आणि मेमरी शिफारसी

इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरच्या पूर्ण इंस्टॉलेशनसाठी 140 GB पर्यंत उपलब्ध डिस्क स्पेस आवश्यक आहे.
तुमच्या डिझाइनवर प्रक्रिया करण्यासाठी आवश्यक असलेल्या शिफारस केलेल्या भौतिक RAM प्रमाणे अतिरिक्त आभासी मेमरी प्रदान करण्यासाठी तुमची प्रणाली कॉन्फिगर करा. ही अतिरिक्त आभासी मेमरी प्रक्रिया करण्यासाठी उपलब्ध एकूण प्रभावी मेमरी प्रभावीपणे दुप्पट करते
आपले डिझाइन
टीप: पीक व्हर्च्युअल मेमरी या शिफारसी ओलांडू शकते. या शिफारशी हार्डवेअरवर अपरिमित RAM असलेल्या 10% च्या आत रनटाइम साध्य करण्यासाठी आवश्यक भौतिक मेमरीच्या प्रमाणावर आधारित आहेत.
तक्ता 2. इंटेल एजिलेक्स डिझाईन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता

या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
इंटेल एजिलेक्स AGFA022, AGFA023, AGFA027 64 जीबी
  AGFB022, AGFB023, AGFB027  
  AGFC023  
  AGFD023  
  AGIA023, AGIA035, AIGA040  
  AGIB022, AGIB023, AGIB027, AGIB041  
  AGIC023, AGIC035, AGIC040  
  AGID023, AGID041  
  AGFA019, AGFB019, AGFC019, AGFD019, AGIB019, AGID019 48 जीबी
  AGFA006, AGFA008, AGFA012, AGFA014 32 जीबी
  AGFB006, AGFB008, AGFB012, AGFB014  

तक्ता 3. Intel Arria® 10 डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
Intel Arria® 10 10AT115, 10AX115 48 जीबी
10AT090, 10AX090 44 जीबी
10AS066, 10AX066 32 जीबी
10AS057, 10AX057 30 जीबी
10AS048, 10AX048 28 जीबी
10AS032, 10AX032 24 जीबी
10AS027, 10AX027 22 जीबी
10AS022, 10AX022 20 जीबी
10AS016, 10AX016 18 जीबी

तक्ता 4. Intel Cyclone® 10 GX डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
Intel Cyclone® 10 GX 10CX85, 10CX105, 10CX150, 10CX220 18 जीबी

तक्ता 5. Intel Stratix® 10 डिझाइन्सवर प्रक्रिया करण्यासाठी मेमरी आवश्यकता
या आवश्यकता Windows आणि Linux दोन्ही इंस्टॉलेशनसाठी समान आहेत.

कुटुंब साधन शिफारस केलेली भौतिक रॅम
इंटेल स्ट्रॅटिक्स® 10 1SD21BP, 1SD280P, 1SG10MH, 1SG210H, 1SG211H,

1SG250H, 1SG250L, 1SG280H, 1SG280L, 1SM21BE,

1SM21BH, 1SM21CH, 1ST210E, 1ST250E, 1ST280E,

1SX210H, 1SX250H, 1SX250L, 1SX280H, 1SX280L

64 जीबी
1SG165H, 1SG166H, 1SM16BE, 1SM16BH, 1SM16CH,

1ST165E, 1SX165H

48 जीबी
1SD110P, 1SG040H, 1SG065H, 1SG085H, 1SG110H,

1ST040E, 1ST085E, 1ST110E, 1SX065H,

1SX085H,1SX110H, 1SX040H

32 जीबी

इंटेल क्वार्टस प्राइम लायसन्सिंग माहिती
तुम्ही Intel Quartus Prime Version 22.4 सह फ्लोटिंग लायसन्स वापरत असल्यास, तुम्ही FlexLM लायसन्स डिमनची सर्वात अलीकडील आवृत्ती वापरत असल्याची खात्री करा.
इंटेल क्वार्टस प्राइम लायसन्सिंगबद्दल अधिक माहितीसाठी, इंटेल एफपीजीए सॉफ्टवेअर इन्स्टॉलेशन आणि लायसन्सिंग पहा.

संबंधित माहिती

  • इंटेल एफपीजीए सॉफ्टवेअर इन्स्टॉलेशन आणि लायसन्सिंग
  • इंटेल एफपीजीए सॉफ्टवेअरसाठी फ्लेक्सएलएम परवाना डिमन

डिव्हाइस समर्थन आणि पिन-आउट स्थिती

तक्ता 6. अंतिम डिव्हाइस समर्थन
या सारणीमध्ये सूचीबद्ध केलेल्या उपकरणांसाठी अंतिम संकलन, सिम्युलेशन, वेळेचे विश्लेषण आणि प्रोग्रामिंग समर्थन उपलब्ध आहे. या उपकरणांनी डिव्हाइस मॉडेल्स, बिटस्ट्रीम आणि फर्मवेअर अंतिम केले आहेत.

डिव्हाइस कुटुंब उपकरणे
इंटेल एजिलेक्स AGFA012R24B, AGFA014R24B, AGFA019R25A, AGFA022R25A, AGFA023R25A, AGFA027R25A

AGFB012R24B, AGFB014R24B, AGFB019R25A, AGFB022R25A, AGFB023R25A, AGFB027R25A

AGFC019R25A, AGFC023R25A AGFD019R25A, AGFD023R25A

इंटेल एरिया 10 10AS016, 10AS022, 10AS027, 10AS032, 10AS048, 10AS057,10AS066

10AT090, 10AT115

10AX016, 10AX022, 10AX027, 10AX032, 10AX048, 10AX057, 10AX066, 10AX090,

10 एएक्स 115

इंटेल सायक्लोन 10 जीएक्स 10CX085, 10CX105, 10CX150, 10CX220
इंटेल स्ट्रॅटिक्स 10 1SD110P, 1SD21BP, 1SD280P

1SG040H, 1SG065H, 1SG085H, 1SG10MH, 1SG110H, 1SG165H, 1SG166H, 1SG210H,

1SG211H, 1SG250H, 1SG250L, 1SG280H, 1SG280L

1SM16BE, 1SM16BH, 1SM16CH, 1SM21BE, 1SM21BH, 1SM21CH,

1ST040E, 1ST085E, 1ST110E, 1ST165E, 1ST210E, 1ST250E, 1ST280E

1SX040H, 1SX065H, 1SX085H, 1SX110H, 1SX165H, 1SX210H, 1SX250H, 1SX250L,

1SX280H, 1SX280L

तक्ता 7. प्राथमिक डिव्हाइस समर्थन
या सारणीमध्ये सूचीबद्ध केलेल्या उपकरणांसाठी संपूर्ण संकलन, सिम्युलेशन, वेळेचे विश्लेषण आणि प्रोग्रामिंग समर्थन उपलब्ध आहे.

डिव्हाइस कुटुंब उपकरणे
इंटेल एजिलेक्स AGFA012R24A, AGFA012R24C-AA, AGFA014R24A, AGFA014R24A-R0, AGFA014R24C-AA,
  AGFA022R24C, AGFA022R31C, AGFA022R31C-AA, AGFA023R25A-R0, AGFA027R24C,
  AGFA027R24C-R0, AGFA027R24C-R2, AGFA027R25A-R0, AGFA027R31C,
  AGFA027R31C-AA, AGFA027R31C-R0
  AGFB012R24A, AGFB012R24C-AA, AGFB014R24A, AGFB014R24A-R0, AGFB014R24C-AA,
  AGFB022R24C, AGFB022R31C, AGFB022R31C-AA, AGFB023R25A-R0, AGFB027R24C,
  AGFB027R24C-R0, AGFB027R24C-R2, AGFB027R25A-R0, AGFB027R31C,
  AGFB027R31C-AA, AGFB027R31C-R0
  AGFC023R25A-R0
  AGFD023R25A-R0
  AGIA023R18A-R0, AGIA040R39A-R0
  AGIB022R31B, AGIB022R31B-AA, AGIB023R18A-R0, AGIB027R29A-R0, AGIB027R29A-R1,
  AGIB027R29A-R2, AGIB027R29A-R3, AGIB027R31B, AGIB027R31B-AA, AGIB027R31B-R0
  AGIC023R18A-R0, AGIC040R39A-R0
  AGID023R18A-R0
इंटेल स्ट्रॅटिक्स 10 1SD110P-S1
  1SG280H-S3, 1SG280L-S3
  1SX280H-S3, 1SX280L-S3

तक्ता 8. आगाऊ डिव्हाइस समर्थन
या उपकरणांसाठी संकलन, सिम्युलेशन आणि वेळेचे विश्लेषण समर्थन प्रदान केले आहे. कंपाइलर या रिलीझमध्ये या उपकरणांसाठी पिनआउट माहिती व्युत्पन्न करतो, परंतु प्रोग्रामिंग व्युत्पन्न करत नाही files.

डिव्हाइस कुटुंब उपकरणे
इंटेल एजिलेक्स AGFA006R16A, AGFA006R24C, AGFA008R16A, AGFA008R24C, AGFA012R24C, AGFA014R24C, AGFA019R24C, AGFA019R31C, AGFA023R24C, AGFA023R31C
  AGFB006R16A, AGFB006R24C, AGFB008R16A, AGFB008R24C, AGFB012R24C,
  AGFB014R24C, AGFB019R24C, AGFB019R31C, AGFB023R24C, AGFB023R31C
  AGFC019R24C, AGFC019R31C, AGFC023R24C, AGFC023R31C
  AGFD019R24C, AGFD019R31C, AGFD023R24C, AGFD023R31C
  AGIA035R39A, AGIA040R39A
  AGIB019R18A, AGIB019R31B, AGIB022R29A, AGIB023R18A, AGIB023R31B,
  AGIB027R29A, AGIB027R29B, AGIB041R29D-R0
  AGIC035R39A, AGIC040R39A
  AGID019R18A, AGID019R31B, AGID023R18A, AGID023R31B, AGID041R29-R0

तक्ता 9. प्रारंभिक डिव्हाइस समर्थन
या उपकरणांसाठी संकलन, सिम्युलेशन आणि वेळेचे विश्लेषण समर्थन प्रदान केले आहे. प्रोग्रामिंग files आणि pinout माहिती या रिलीझमध्ये या उपकरणांसाठी व्युत्पन्न केलेली नाही.

डिव्हाइस कुटुंब उपकरणे
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये या स्थितीसह कोणतेही उपकरण नाहीत.

डिव्हाइस समर्थन मध्ये बदल

  • इंटेल क्वार्टस प्राइम आवृत्ती 20.1 सह प्रारंभ करून, नवीन उपकरण समर्थन स्तर सादर केला गेला: प्राथमिक उपकरण समर्थन.
  • प्राथमिक उपकरण समर्थन असलेल्या उपकरणांसाठी, इंटेल क्वार्टस प्राइम संपूर्ण संकलन, सिम्युलेशन, वेळेचे विश्लेषण आणि प्रोग्रामिंग समर्थन प्रदान करते परंतु डिव्हाइस मॉडेल्स, बिटस्ट्रीम आणि डिव्हाइसेससाठी फर्मवेअर अंतिम केलेले नाहीत.
  • फायनल डिव्‍हाइस सपोर्ट (पूर्वी पूर्ण) असल्‍या डिव्‍हाइसमध्‍ये डिव्‍हाइस मॉडेल, बिट स्‍ट्रीम आणि फर्मवेअर अंतिम केले आहे.
  • प्रारंभिक आणि आगाऊ उपकरण समर्थन स्तरांच्या व्याख्या अपरिवर्तित आहेत.
  • ज्ञात डिव्हाइस समस्या आणि वर्कअराउंड्सबद्दल माहितीसाठी, Intel FPGA नॉलेज बेसचा संदर्भ घ्या.

नवीन डिव्हाइस समर्थन
Intel Quartus Prime Pro Edition Version 22.4 मध्ये Advance device सपोर्टसह खालील उपकरणांसाठी समर्थन जोडले आहे:

  • AGIB041R29D-R0
  • AGID041R29D-R0

डिव्हाइस समर्थन बदलले
पुढील डिव्हाइसेससाठी समर्थन अॅडव्हान्स डिव्हाइस सपोर्टवरून प्राथमिक डिव्हाइस समर्थनाकडे जाते:

  • AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
  • AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
  • AGIB022R31B, AGIB027R31B

एफ-टाइल समर्थन बदल
Intel Quartus Prime Pro Edition Version 22.1 किंवा त्यापूर्वी विकसित केलेल्या F-Tile IP सह Intel Agilex डिझाईन्ससाठी, तुम्ही तुमचा F-Tile IP Intel Quartus Prime Pro Edition 22.2 किंवा नंतरच्या आवृत्तीमध्ये पुन्हा निर्माण करणे आवश्यक आहे.
खालील उपकरणे प्रभावित आहेत:

  • AGFA006R16A, AGFA008R16A, AGFA022R24C, AGFA022R31C, AGFA027R24C, AGFA027R31C
  • AGFB006R16A, AGFB008R16A, AGFB022R24C, AGFB022R31C, AGFB027R24C, AGFB027R31C
  • AGIB022R29A, AGIB022R31B, AGIB027R29A, AGIB027R31B

टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती
केवळ टायमिंग मॉडेल, पॉवर मॉडेल आणि फायनलची डिव्हाइस स्थिती असलेली उपकरणे उत्पादन प्रणालीसाठी योग्य आहेत.

तक्ता 10. Intel Agilex डिव्हाइसेससाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल एजिलेक्स AGFC023R25A-AE, AGFD023R25A-AE अंतिम - 22.3 अंतिम - 22.3 अंतिम - 22.3
  AGFA019R25A, AGFA023R25A अंतिम - 22.2 अंतिम - 22.2 अंतिम - 22.2
  AGFB019R25A, AGFB023R25A      
  AGFC019R25A, AGFC023R25A      
  AGFD019R25A, AGFD023R25A      
  AGFA012R24B, AGFA014R24B, AGFA022R25A, AGFA027R25A अंतिम - 21.3 अंतिम - 21.3 अंतिम - 21.3
  AGFB012R24B, AGFB014R24B,      
  AGFB022R25A, AGFB027R25A      
  AGFA006R16A, AGFA006R24C, प्राथमिक प्राथमिक प्राथमिक
  AGFA008R16A, AGFA008R24C,      
  AGFA012R24C, AGFA012R24C-AA,      
  AGFA014R24C, AGFA014R24C-AA      
  AGFA019R24C, AGFA019R31C,      
  AGFA022R24C, AGFA022R31C,      
  AGFA022R31C-AA, AGFA023R24C,      
  AGFA023R31C, AGFA027R24C,      
  AGFA027R31C, AGFA027R31C-AA      
  AGFB006R16A, AGFB006R24C,      
  AGFB008R16A AGFB008R24C,      
  AGFB012R24C, AGFB012R24C-AA,      
  AGFB014R24C, AGFB014R24C-AA,      
  AGFB019R24C, AGFB019R31C,      
  AGFB022R24C, AGFB022R31C,      
  AGFB022R31C-AA, AGFB023R24C,      
  AGFB023R31C, AGFB027R24C,      
  AGFB027R31C, AGFB027R31C-AA      
  AGFC019R24C, AGFC019R31C,      
  AGFC023R24C, AGFC023R31C      
  AGFD019R24C, AGFD019R31C,      
  AGFD023R24C, AGFD023R31C      
  AGIA035R39A, AGIA040R39A      
  AGIB019R18A, AGIB019R31B,      
  AGIB022R29A, AGIB022R31B,      
  AGIB022R31B-AA, AGIB023R18A,      
  AGIB023R31B, AGIB027R29A,      
  AGIB027R29B, AGIB027R31B,      
  AGIB027R31B-AA      
  AGIC035R29A, AGIC040R39A      
  AGID019R18A, AGID019R31B,      
  AGID023R18A, AGID023R31B      
  AGFA014R24A-R0, AGFA023R25A-R0, प्राथमिक प्राथमिक प्राथमिक
  AGFA027R24C-R0, AGFA027R24C-R2,      
  AGFA027R25A-R0, AGFA027R31C-R0      
  AGFB014R24A-R0, AGFB023R25A-R0,      
  AGFB027R24C-R0, AGFB027R24C-R2,      
  AGFB027R25A-R0, AGFA027R31C-R0      
  AFGC023R25A-R0      
  AFGD023R25A-R0      
  AGIA023R18A-R0, AGIA040R39A-R0      
  AGIB023R18A-R0, AGIB027R29A-R0,      
  AGIB027R29A-R1, AGIB027R29A-R2,      
  AGIB027R29A-R3, AGIB027R31B-R0,      
  AGIB041R29D-R0      
  AGIC023R18A-R0, AGIC040R39A-R0      
  AGID023R18A-R0, AGID041R29D-R0      

तक्ता 11. Intel Arria 10 उपकरणांसाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल एरिया 10 10AX016, 10AS016, 10AX022, 10AS022,

10AX027, 10AS027, 10AX032, 10AS032

अंतिम - 16.1 (3)

(4)

अंतिम - 17.0 अंतिम - 17.0
10AX048, 10AS048 अंतिम - 16.0.2 (4) अंतिम - 17.0 अंतिम - 17.0
10AX057, 10AS057, 10AX066, 10AS066,

10AT090, 10AX090

अंतिम - 16.0.1 (4) अंतिम - 16.0.1 अंतिम - 16.0.1
10AX115, 10AT115 अंतिम - 16.0 (4) अंतिम - 16.0 अंतिम - 16.0

तक्ता 12. इंटेल चक्रीवादळ 10 उपकरणांसाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल सायक्लोन 10 जीएक्स 10CX085, 10CX105, 10CX150, 10CX220 अंतिम - 17.0 अंतिम - 18.0 अंतिम - 18.0

तक्ता 13. इंटेल स्ट्रॅटिक्स 10 उपकरणांसाठी टाइमिंग मॉडेल, पॉवर मॉडेल आणि डिव्हाइस स्थिती

डिव्हाइस कुटुंब साधन टाइमिंग मॉडेल स्थिती पॉवर मॉडेल स्थिती डिव्हाइस स्थिती
इंटेल स्ट्रॅटिक्स 10 1SG280L, 1SX280L, 1SG250L, 1SX250L अंतिम - 18.0.1 अंतिम - 18.1.1 अंतिम - 18.1.1
1SG280H, 1SX280H, 1SG250H, 1SX250H,

1SG210H, 1SX210H, 1SG165H, 1SX165H,

1SG110H, 1SX110H, 1SG085H, 1SX085H

अंतिम - 18.1.1 अंतिम - 18.1.1 अंतिम - 18.1.1
1ST280E, 1ST250E अंतिम - 18.1.1 अंतिम - 19.4 अंतिम - 19.4
1SM21BH, 1SM21CH, 1SM16BH, 1SM16CH अंतिम - 19.1 अंतिम - 19.1 अंतिम - 19.1
1SG10MH, 1SG166H, 1SG211H अंतिम - 19.1 अंतिम - 19.3 अंतिम - 19.3
1ST210E, 1SM21BE, 1ST165E, 1SM16BE अंतिम - 19.2 अंतिम - 19.4 अंतिम - 19.4
1SD280P, 1ST040E, 1ST085E, 1ST110E अंतिम - 20.1 अंतिम - 20.1 अंतिम - 20.1
1SD110P अंतिम - 20.2 अंतिम - 20.2 अंतिम - 20.2
1SD21BP अंतिम - 20.3 अंतिम - 20.3 अंतिम - 20.3
1SG040H, 1SX040H अंतिम - 20.3 अंतिम - 20.3 अंतिम - 21.1
1SG065H, 1SX065H अंतिम - 21.2 अंतिम - 21.2 अंतिम - 21.2
  • 3) इंटेल क्वार्टस प्राइम सॉफ्टवेअर आवृत्ती 1 मध्ये -17.0 स्पीड ग्रेड असलेली उपकरणे अंतिम करण्यात आली.
  • (4) सर्व मिलिटरी ग्रेड उपकरणे इंटेल क्वार्टस प्राइम सॉफ्टवेअर आवृत्ती 18.0.1 मध्ये अंतिम करण्यात आली.

IBIS मॉडेल्स

तक्ता 14. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर रिलीझ आवृत्ती 22.4 साठी IBIS मॉडेल स्थिती

डिव्हाइस कुटुंब IBIS मॉडेल स्थिती
इंटेल एजिलेक्स पहा इंटेल उपकरणांसाठी IBIS मॉडेल.
इंटेल एरिया 10
इंटेल सायक्लोन 10 जीएक्स
इंटेल स्ट्रॅटिक्स 10

Intel Agilex डिव्हाइस फॅमिलीपासून सुरुवात करून, IBIS मॉडेल्स फक्त ऑनलाइन उपलब्ध आहेत web पृष्ठ: इंटेल FPGA उपकरणांसाठी IBIS मॉडेल्स. डिव्हाइसेससाठी IBIS मॉडेल उपलब्ध झाल्यामुळे किंवा अद्यतनित केल्यामुळे हे पृष्ठ अद्यतनित केले जाते.

EDA इंटरफेस माहिती

तक्ता 15. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर रिलीज व्हर्जन 22.4 ला सपोर्ट करणारी सिंथेसिस टूल्स

संश्लेषण साधने आवृत्ती
सीमेन्स ईडीए प्रिसिजन* एफपीजीए संश्लेषण इंटेल क्वार्टस प्राइम सॉफ्टवेअरला सपोर्ट करणार्‍या Siemens EDA प्रेसिजन FPGA सिंथेसिस आवृत्त्या विशेषत: इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या प्रकाशनानंतर रिलीझ केल्या जातात. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 ला सपोर्ट करणाऱ्या Siemens EDA प्रेसिजन FPGA सिंथेसिसच्या आवृत्त्यांसाठी Siemens EDA शी संपर्क साधा.
Synopsys* Synplify*, Synplify Pro*, आणि Synplify Premier इंटेल क्वार्टस प्राइम सॉफ्टवेअरला समर्थन देणार्‍या Synopsys Synplify, Synplify Pro आणि Synplify प्रीमियर आवृत्त्या सामान्यत: इंटेल क्वार्टस प्राइम सॉफ्टवेअरच्या प्रकाशनानंतर रिलीझ केल्या जातात. Synopsys Synplify, Synplify Pro आणि Synplify Premier च्या आवृत्त्यांसाठी Synopsys शी संपर्क साधा जे इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आवृत्ती 22.4 ला समर्थन देतात.

तक्ता 16. इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर रिलीज व्हर्जन 22.4 ला सपोर्ट करणारी सिम्युलेशन टूल्स
खालील सिम्युलेशन टूल्स आरटीएल आणि फंक्शनल गेट-लेव्हल सिम्युलेशनला सपोर्ट करतात. फक्त 64-बिट सिम्युलेशन साधने समर्थित आहेत.

सिम्युलेशन साधने आवृत्ती
Aldec सक्रिय-HDL 13.0 (केवळ विंडोज)
Aldec Riviera-PRO 2021.10
Cadence* Xcelium* समांतर लॉजिक सिम्युलेशन 21.09.003 (फक्त लिनक्स*)
Questa-Intel FPGA संस्करण 2022.1
सीमेन्स ईडीए क्वेस्टा प्रगत सिम्युलेटर 2021.4
Synopsys VCS* आणि VCS MX S-2021.09-1 (फक्त लिनक्स)

Questa-Intel FPGA संस्करणासाठी FlexLM परवाना देणारी डिमन आवृत्ती 11.16.4.0 (किंवा नंतरची) आवश्यक आहे. तुम्ही Intel FPGA सॉफ्टवेअरसाठी FlexLM लायसन्स डिमनकडून परवाना देणारा डिमन मिळवू शकता. web पृष्ठ
तुम्ही FPGAs साठी डाउनलोड सेंटरवरून सिम्युलेशन टूल्सची इंटेल FPGA एडिशन मिळवू शकता.

Questa-Intel FPGA संस्करण 2022.2 साठी ऑपरेटिंग सिस्टम सपोर्ट

  • Red Hat Enterprise Linux 8
  • SUSE* लिनक्स एंटरप्राइझ सर्व्हर 12
  • सुस लिनक्स एंटरप्राइझ सर्व्हर 15
  • विंडोज १० (६४-बिट)

संबंधित माहिती

  • लिनक्ससाठी इंटेल क्वार्टस प्राइम प्रो एडिशन डिझाइन सॉफ्टवेअर
  • विंडोजसाठी इंटेल क्वार्टस प्राइम प्रो एडिशन डिझाइन सॉफ्टवेअर

अँटीव्हायरस सत्यापन

इंटेल क्वार्टस प्राइम सॉफ्टवेअर रिलीझ आवृत्ती 22.4 खालील सॉफ्टवेअरसह व्हायरस मुक्त असल्याचे सत्यापित केले गेले आहे:

अँटीव्हायरस पडताळणी सॉफ्टवेअर
Linux64 आवृत्तीसाठी McAfee VirusScan कमांड लाइन: 7.0.0.477
AV इंजिन आवृत्ती: Linux6300.9389 साठी 64.
डेटा सेट आवृत्ती: 10559 12 डिसेंबर 2022 रोजी तयार केली

सॉफ्टवेअर समस्यांचे निराकरण केले

खालील ग्राहक सेवा विनंत्या इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये निश्चित केल्या गेल्या किंवा अन्यथा निराकरण केल्या गेल्या:
तक्ता 17. इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये सोडवलेल्या समस्या

ग्राहक सेवा विनंती क्रमांक
00387444 00553391 00634869 00644742 00661097 00669572 00673194 00692360
00694974 00698210 00698723 00702882 00702926 00703707 00704117 00706447
00708964 00710869 00712835 00714701 00716383 00726915 00729961 00731682
00733009 00733051 00733220 00733414 00733701 00736181 00736498 00736688
00737681 00737702 00737956 00738733 00739075 00740688 00741731 00742654
00743029 00743329 00745149 00745934 00746401 00748148 00748465 00748760
00749380 05355807 05640050 11340561        

या रिलीझमध्ये सॉफ्टवेअर पॅचेस समाविष्ट आहेत
इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 मध्ये इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअरच्या मागील आवृत्त्यांसाठी खालील पॅच आहेत:

तक्ता 18. इंटेल क्वार्टस प्राइम प्रो संस्करण 22.4 मध्ये सॉफ्टवेअर पॅचेस समाविष्ट आहेत

सॉफ्टवेअर आवृत्ती पॅच ग्राहक सेवा विनंती क्रमांक
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.27fw
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.25 00745149
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.21 00743329
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.19
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.18 00735205
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.15
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.06
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.04
इंटेल क्वार्टस प्राइम आवृत्ती 22.3 0.01
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.39fw
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.38 00736498
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.36 00735205
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.35 00716738
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.33
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.31 00731682
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.3
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.29fw 00706447
इंटेल क्वार्टस प्राइम आवृत्ती 22.2 0.28fw
इंटेल क्वार्टस प्राइम आवृत्ती 22.1 0.40fw
इंटेल क्वार्टस प्राइम आवृत्ती 22.1 0.37 00733220
इंटेल क्वार्टस प्राइम आवृत्ती 22.1 0.34fw
इंटेल क्वार्टस प्राइम आवृत्ती 22.1 0.32fw 00715716
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.80fw 00000000
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.78 00736498
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.77fw 00706447
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.72 00000000
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.69 00698723
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.66 00731682
इंटेल क्वार्टस प्राइम आवृत्ती 21.4 0.64
इंटेल क्वार्टस प्राइम आवृत्ती 21.3 0.5 00735343
इंटेल क्वार्टस प्राइम आवृत्ती 21.2 0.49 00733220
चालू ठेवले…
सॉफ्टवेअर आवृत्ती पॅच ग्राहक सेवा विनंती क्रमांक
इंटेल क्वार्टस प्राइम आवृत्ती 21.2 0.48
इंटेल क्वार्टस प्राइम आवृत्ती 21.2 0.47 00716383
इंटेल क्वार्टस प्राइम आवृत्ती 21.2 0.43 00698723
इंटेल क्वार्टस प्राइम आवृत्ती 21.1 0.63 05418969
इंटेल क्वार्टस प्राइम आवृत्ती 20.4 0.53

नवीनतम ज्ञात इंटेल क्वार्टस प्राइम सॉफ्टवेअर समस्या

  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.4 वर परिणाम करणाऱ्या ज्ञात समस्यांबद्दल माहिती इंटेल FPGA नॉलेज बेसमध्ये उपलब्ध आहे.
  • इंटेल क्वार्टस प्राइम प्रो संस्करण 22.4 वर परिणाम करणाऱ्या समस्यांबद्दल नवीनतम माहितीसाठी, पुन्हाview इंटेल एफपीजीए नॉलेज बेस लेख जे इंटेल क्वार्टस प्राइम प्रो एडिशन आवृत्ती 22.4 वर लागू होतात.
  • इंटेल एफपीजीए नॉलेज बेसवर तुम्हाला क्वार्टस प्राइम सॉफ्टवेअरच्या मागील आवृत्त्यांसाठी ज्ञात समस्या माहिती मिळू शकते. web पृष्ठ
  • क्वार्टसच्या मागील आवृत्त्यांवर परिणाम करणाऱ्या ज्ञात सॉफ्टवेअर समस्यांबद्दल माहिती
  • II सॉफ्टवेअर इंटेल क्वार्टस प्राइम आणि क्वार्टस II सॉफ्टवेअर सपोर्टवर उपलब्ध आहे web पृष्ठ
  • Intel FPGA IP लायब्ररीवर परिणाम करणाऱ्या समस्यांबद्दल माहिती प्रत्येक IP साठी रिलीज नोट्समध्ये उपलब्ध आहे. तुम्हाला Intel FPGA डॉक्युमेंटेशन इंडेक्सवर आयपी रिलीझ नोट्स मिळू शकतात web पृष्ठ

संबंधित माहिती

  • इंटेल एफपीजीए नॉलेज बेस
  • इंटेल क्वार्टस प्राइम आणि क्वार्टस II सॉफ्टवेअर सपोर्ट
  • इंटेल एफपीजीए आणि प्रोग्राम करण्यायोग्य उपकरणे रिलीझ नोट्स

इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आणि डिव्‍हाइस सपोर्ट रिलीज नोट्स संग्रहण
या रिलीझ नोट्सच्या नवीनतम आणि मागील आवृत्त्यांसाठी, इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर आणि डिव्हाइस सपोर्ट रिलीझ नोट्स पहा. सॉफ्टवेअर आवृत्ती सूचीबद्ध नसल्यास, मागील सॉफ्टवेअर आवृत्तीसाठी रिलीज नोट्स लागू होतात.

इंटेल क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर रिलीज व्हर्जन

दस्तऐवज पुनरावृत्ती इतिहास

दस्तऐवज आवृत्ती इंटेल क्वार्टस प्राइम आवृत्ती बदल
2022.12.19 22.4 • प्रारंभिक प्रकाशनात.

इंटेल क्वार्टस प्राइम प्रो संस्करण: आवृत्ती 22.4 सॉफ्टवेअर आणि डिव्हाइस समर्थन प्रकाशन नोट्स

कागदपत्रे / संसाधने

इंटेल 22.4 क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर [pdf] वापरकर्ता मार्गदर्शक
आवृत्ती 22.4, 22.4, 22.4 क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर, क्वार्टस प्राइम प्रो एडिशन सॉफ्टवेअर, प्राइम प्रो एडिशन सॉफ्टवेअर, प्रो एडिशन सॉफ्टवेअर, एडिशन सॉफ्टवेअर, सॉफ्टवेअर

संदर्भ

एक टिप्पणी द्या

तुमचा ईमेल पत्ता प्रकाशित केला जाणार नाही. आवश्यक फील्ड चिन्हांकित आहेत *